Anantha P. Chandrakasan
#66,924
Most Influential Person Now
Anantha P. Chandrakasan's AcademicInfluence.com Rankings
Anantha P. Chandrakasanengineering Degrees
Engineering
#1232
World Rank
#1863
Historical Rank
Applied Physics
#89
World Rank
#93
Historical Rank
Electrical Engineering
#159
World Rank
#186
Historical Rank
Anantha P. Chandrakasancomputer-science Degrees
Computer Science
#2216
World Rank
#2307
Historical Rank
Database
#199
World Rank
#206
Historical Rank
Download Badge
Engineering Computer Science
Anantha P. Chandrakasan's Degrees
- PhD Electrical Engineering and Computer Science University of California, Berkeley
Why Is Anantha P. Chandrakasan Influential?
(Suggest an Edit or Addition)Anantha P. Chandrakasan's Published Works
Published Works
- Energy-efficient communication protocol for wireless microsensor networks (2000) (13845)
- An application-specific protocol architecture for wireless microsensor networks (2002) (11107)
- Low-power CMOS digital design (1992) (3111)
- Physical layer driven protocol and algorithm design for energy-efficient wireless sensor networks (2001) (1131)
- Minimizing power consumption in digital CMOS circuits (1995) (1098)
- Low Power Digital CMOS Design (1995) (1095)
- Digital Integrated Circuits (2003) (1084)
- Vibration-to-electric energy conversion (1999) (1043)
- Design of High-Performance Microprocessor Circuits (2001) (812)
- Dynamic Power Management in Wireless Sensor Networks (2001) (792)
- Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage (2002) (788)
- Upper bounds on the lifetime of sensor networks (2001) (740)
- Self-powered signal processing using vibration-based power generation (1998) (713)
- A 180-mV subthreshold FFT processor using a minimum energy design methodology (2005) (651)
- Bounding the lifetime of sensor networks via optimal role assignments (2002) (596)
- Sub-threshold Design for Ultra Low-Power Systems (2006) (594)
- Modeling and sizing for minimum energy operation in subthreshold circuits (2005) (571)
- Gazelle: A Low Latency Framework for Secure Neural Network Inference (2018) (562)
- A Battery-Less Thermoelectric Energy Harvesting Interface Circuit With 35 mV Startup Voltage (2010) (556)
- Dual-threshold voltage techniques for low-power digital circuits (2000) (510)
- A Micro-Power EEG Acquisition SoC With Integrated Feature Extraction Processor for a Chronic Seizure Detection System (2010) (500)
- Optimizing power using transformations (1995) (479)
- A 256 kb 65 nm 8T Subthreshold SRAM Employing Sense-Amplifier Redundancy (2008) (475)
- Low-power wireless sensor networks (2001) (473)
- Platform architecture for solar, thermal and vibration energy combining with MPPT and single inductor (2011) (466)
- A 256-kb 65-nm Sub-threshold SRAM Design for Ultra-Low-Voltage Operation (2007) (451)
- Predictive system shutdown and other architectural techniques for energy efficient programmable computation (1996) (442)
- Scaling of stack effect and its application for leakage reduction (2001) (409)
- Design considerations for distributed microsensor systems (1999) (405)
- Multiple constant multiplications: efficient and versatile framework and algorithms for exploring common subexpression elimination (1996) (389)
- An efficient piezoelectric energy-harvesting interface circuit using a bias-flip rectifier and shared inductor (2009) (369)
- JouleTrack: a web based tool for software energy profiling (2001) (366)
- Modern microprocessor built from complementary carbon nanotube transistors (2019) (361)
- Design and Analysis of a Hardware-Efficient Compressed Sensing Architecture for Data Compression in Wireless Sensors (2012) (359)
- An energy-efficient charge recycling approach for a SAR converter with capacitive DAC (2005) (356)
- 500-MS/s 5-bit ADC in 65-nm CMOS With Split Capacitor Array DAC (2007) (339)
- An Ultra Low Energy 12-bit Rate-Resolution Scalable SAR ADC for Wireless Sensor Nodes (2007) (332)
- Static noise margin variation for sub-threshold SRAM in 65-nm CMOS (2006) (328)
- Energy efficiency of the IEEE 802.15.4 standard in dense wireless microsensor networks: modeling and improvement perspectives (2005) (315)
- Design considerations for ultra-low energy wireless microsensor nodes (2005) (306)
- A 65 nm Sub-$V_{t}$ Microcontroller With Integrated SRAM and Switched Capacitor DC-DC Converter (2008) (297)
- An Energy-Efficient OOK Transceiver for Wireless Sensor Networks (2007) (295)
- Embedded power supply for low-power DSP (1997) (290)
- Energy-efficient DSPs for wireless sensor networks (2002) (285)
- A 350μW CMOS MSK transmitter and 400μW OOK super-regenerative receiver for Medical Implant Communications (2009) (284)
- An ingestible bacterial-electronic system to monitor gastrointestinal health (2018) (281)
- Subthreshold leakage modeling and reduction techniques (2002) (280)
- Energy-centric enabling tecumologies for wireless sensor networks (2002) (268)
- Transistor Sizing Issues And Tool For Multi-threshold Cmos Technology (1997) (268)
- Ultralow-power electronics for biomedical applications. (2008) (261)
- Energy efficient modulation and MAC for asymmetric RF microsensor systems (2001) (255)
- A 180mV FFT processor using subthreshold circuit techniques (2004) (245)
- Leakage in Nanometer CMOS Technologies (2010) (243)
- A 175 mV multiply-accumulate unit using an adaptive supply voltage and body bias (ASB) architecture (2002) (240)
- A 256kb Sub-threshold SRAM in 65nm CMOS (2006) (235)
- An 8-Channel Scalable EEG Acquisition SoC With Patient-Specific Seizure Classification and Recording Processor (2013) (230)
- Ultra-dynamic Voltage scaling (UDVS) using sub-threshold operation and local Voltage dithering (2006) (229)
- MTCMOS hierarchical sizing based on mutual exclusive discharge patterns (1998) (221)
- Low-Power CMOS Design (1997) (220)
- Energy-scalable algorithms and protocols for wireless microsensor networks (2000) (219)
- Optimal supply and threshold scaling for subthreshold CMOS circuits (2002) (216)
- An Efficient Piezoelectric Energy Harvesting Interface Circuit Using a Bias-Flip Rectifier and Shared Inductor (2010) (214)
- A 65nm 8T Sub-Vt SRAM Employing Sense-Amplifier Redundancy (2007) (214)
- Variation-Driven Device Sizing for Minimum Energy Sub-threshold Circuits (2006) (210)
- Characterizing and modeling minimum energy operation for subthreshold circuits (2004) (208)
- Ultra low power control circuits for PWM converters (1997) (204)
- An energy-efficient reconfigurable public-key cryptography processor (2001) (204)
- Nanometer MOSFET Variation in Minimum Energy Subthreshold Circuits (2008) (199)
- HYPER-LP: a system for power minimization using architectural transformations (1992) (199)
- Energy-Scalable Protocols for Battery-Operated MicroSensor Networks (1999) (197)
- A Fully-Integrated Switched-Capacitor Step-Down DC-DC Converter With Digital Capacitance Modulation in 45 nm CMOS (2010) (196)
- Voltage Scalable Switched Capacitor DC-DC Converter for Ultra-Low-Power On-Chip Applications (2007) (195)
- High-efficiency multiple-output DC-DC conversion for low-voltage systems (2000) (188)
- Energy extraction from the biologic battery in the inner ear (2012) (184)
- Strain-programmable fiber-based artificial muscle (2019) (184)
- Conv-RAM: An energy-efficient SRAM with embedded convolution computation for low-power CNN-based machine learning applications (2018) (181)
- A 65nm Sub-Vt Microcontroller with Integrated SRAM and Switched-Capacitor DC-DC Converter (2008) (180)
- An architecture for a power-aware distributed microsensor node (2000) (159)
- A bus energy model for deep submicron technology (2002) (157)
- Low power bus coding techniques considering inter-wire capacitances (2000) (157)
- Full-chip subthreshold leakage power prediction and reduction techniques for sub-0.18-/spl mu/m CMOS (2004) (156)
- Low-power digital filtering using approximate processing (1996) (156)
- A Resolution-Reconfigurable 5-to-10-Bit 0.4-to-1 V Power Scalable SAR ADC for Sensor Applications (2013) (156)
- A framework for energy-scalable communication in high-density wireless networks (2002) (155)
- Data driven signal processing: an approach for energy efficient computing (1996) (154)
- Minimum Energy Tracking Loop With Embedded DC–DC Converter Enabling Ultra-Low-Voltage Operation Down to 250 mV in 65 nm CMOS (2008) (151)
- A 47pJ/pulse 3.1-to-5GHz All-Digital UWB Transmitter in 90nm CMOS (2007) (145)
- Gaussian pulse Generators for subbanded ultra-wideband transmitters (2006) (145)
- Models of Process Variations in Device and Interconnect (2001) (144)
- Approximate Signal Processing (1997) (143)
- A pulsed UWB receiver SoC for insect motion control (2009) (140)
- Power aware wireless microsensor systems (2002) (139)
- A 330nA energy-harvesting charger with battery management for solar and thermoelectric energy harvesting (2012) (138)
- An Energy-Efficient All-Digital UWB Transmitter Employing Dual Capacitively-Coupled Pulse-Shaping Drivers (2009) (137)
- A low-power DCT core using adaptive bitwidth and arithmetic activity exploiting signal correlations and quantization (1999) (134)
- A 2.5nJ/b 0.65V 3-to-5GHz Subbanded UWB Receiver in 90nm CMOS (2007) (132)
- Next generation micro-power systems (2008) (132)
- A 1 GS/s 10b 18.9 mW Time-Interleaved SAR ADC With Background Timing Skew Calibration (2014) (131)
- MTCMOS sequential circuits (2001) (131)
- Hybrid Energy Efficient Distributed Protocol for Heterogeneous Wireless Sensor Network (2010) (130)
- Reducing bus delay in submicron technology using coding (2001) (129)
- MobiCom poster: top five myths about the energy consumption of wireless communication (2003) (129)
- Dynamic voltage scaling techniques for distributed microsensor networks (2000) (128)
- CONV-SRAM: An Energy-Efficient SRAM With In-Memory Dot-Product Computation for Low-Power Convolutional Neural Networks (2019) (125)
- Design tools for 3-D integrated circuits (2003) (125)
- Analyzing static noise margin for sub-threshold SRAM in 65nm CMOS (2005) (123)
- A leakage reduction methodology for distributed MTCMOS (2004) (123)
- SCORPIO: A 36-core research chip demonstrating snoopy coherence on a scalable mesh NoC with in-network ordering (2014) (123)
- A methodology for modeling the effects of systematic within-die interconnect and device variation on circuit performance (2000) (122)
- Prolonged energy harvesting for ingestible devices (2016) (119)
- Design, Modeling, and Fabrication of Chemical Vapor Deposition Grown MoS2 Circuits with E-Mode FETs for Large-Area Electronics. (2016) (117)
- A Reconfigurable 8T Ultra-Dynamic Voltage Scalable (U-DVS) SRAM in 65 nm CMOS (2009) (116)
- Breaking the simulation barrier: SRAM evaluation through norm minimization (2008) (116)
- Design methodology for fine-grained leakage control in MTCMOS (2003) (115)
- Quantifying and enhancing power awareness of VLSI systems (2001) (114)
- Technologies for Ultradynamic Voltage Scaling (2010) (113)
- 20 $\mu$ A to 100 mA DC–DC Converter With 2.8-4.2 V Battery Supply for Portable Applications in 45 nm CMOS (2011) (113)
- A resolution-reconfigurable 5-to-10b 0.4-to-1V power scalable SAR ADC (2011) (113)
- Back-gated CMOS on SOIAS for dynamic threshold voltage control (1997) (113)
- A 1.1 nW Energy-Harvesting System with 544 pW Quiescent Power for Next-Generation Implants (2014) (112)
- Sapphire: A Configurable Crypto-Processor for Post-Quantum Lattice-based Protocols (2019) (108)
- System design considerations for ultra-wideband communication (2005) (107)
- A 93% efficiency reconfigurable switched-capacitor DC-DC converter using on-chip ferroelectric capacitors (2013) (107)
- Ingestible electronics for diagnostics and therapy (2019) (106)
- Ultrasonic Imaging Transceiver Design for CMUT: A Three-Level 30-Vpp Pulse-Shaping Pulser With Improved Efficiency and a Noise-Optimized Receiver (2013) (104)
- 23.2 A 1.1nW energy harvesting system with 544pW quiescent power for next-generation implants (2014) (103)
- Approaching the theoretical limits of a mesh NoC with a 16-node chip prototype in 45nm SOI (2012) (103)
- Energy efficient protocols for low duty cycle wireless microsensor networks (2001) (101)
- Device sizing for minimum energy operation in subthreshold circuits (2004) (101)
- Dual Time-Interleaved Successive Approximation Register ADCs for an Ultra-Wideband Receiver (2007) (101)
- A 6-bit, 0.2 V to 0.9 V Highly Digital Flash ADC With Comparator Redundancy (2009) (100)
- An energy-efficient biomedical signal processing platform (2010) (99)
- Wiring requirement and three-dimensional integration technology for field programmable gate arrays (2003) (99)
- Design Considerations for Energy-Efficient Radios in Wireless Microsensor Networks (2004) (98)
- A 120nW 18.5kHz RC oscillator with comparator offset cancellation for ±0.25% temperature stability (2013) (97)
- An efficient controller for variable supply-voltage low power processing (1996) (95)
- Energy aware software (2000) (95)
- A low-power IDCT macrocell for MPEG2 MP@ML exploiting data distribution properties for minimal activity (1998) (94)
- Energy scalable system design (2002) (94)
- A Fully-Implantable Cochlear Implant SoC With Piezoelectric Middle-Ear Sensor and Arbitrary Waveform Neural Stimulation (2014) (94)
- JouleTrack-a Web based tool for software energy profiling (2001) (93)
- SMART: A single-cycle reconfigurable NoC for SoC applications (2013) (92)
- Low-Power Impulse UWB Architectures and Circuits (2009) (90)
- Bus energy minimization by transition pattern coding (TPC) in deep sub-micron technologies (2000) (89)
- Self-aware computing in the Angstrom processor (2012) (89)
- Loop flattening & spherical sampling: Highly efficient model reduction techniques for SRAM yield analysis (2010) (87)
- Dynamic voltage scheduling using adaptive filtering of workload traces (2001) (86)
- Electric impedance microflow cytometry for characterization of cell disease states. (2013) (84)
- Highly Interleaved 5-bit, 250-MSample/s, 1.2-mW ADC With Redundant Channels in 65-nm CMOS (2008) (83)
- Trends in low power digital signal processing (1998) (83)
- Energy-efficient link layer for wireless microsensor networks (2001) (83)
- Energy-efficient communication for ad-hoc wireless sensor networks (2001) (82)
- A signal-agnostic compressed sensing acquisition system for wireless and implantable sensors (2010) (82)
- 11.3 A 10b 0.6nW SAR ADC with data-dependent energy savings using LSB-first successive approximation (2014) (80)
- Three-dimensional integrated circuits: performance, design methodology, and CAD tools (2003) (80)
- Physical layer driven algorithm and protocol design for energy-efficient wireless sensor networks (2002) (80)
- Standby power reduction using dynamic voltage scaling and canary flip-flop structures (2004) (79)
- Graphene-Based Thermopile for Thermal Imaging Applications. (2015) (79)
- Full-chip sub-threshold leakage power prediction model for sub-0.18 μm CMOS (2002) (78)
- Energy Efficient Real-Time Scheduling (2001) (78)
- Design of portable systems (1994) (76)
- An RC Oscillator With Comparator Offset Cancellation (2016) (75)
- Challenges and Directions for Low-Voltage SRAM (2011) (75)
- Breakdown Current Density of CVD-Grown Multilayer Graphene Interconnects (2011) (75)
- A 10 nW–1 µW Power Management IC With Integrated Battery Management and Self-Startup for Energy Harvesting Applications (2016) (74)
- A Sub-nW 2.4 GHz Transmitter for Low Data-Rate Sensing Applications (2014) (74)
- A Biomedical Sensor Interface With a sinc Filter and Interference Cancellation (2011) (74)
- Active GHz clock network using distributed PLLs (2000) (74)
- Ultra-dynamic voltage scaling using sub-threshold operation and local voltage dithering in 90nm CMOS (2005) (72)
- A 249-Mpixel/s HEVC Video-Decoder Chip for 4K Ultra-HD Applications (2014) (72)
- Algorithmic transforms for efficient energy scalable computation (2000) (72)
- A batteryless thermoelectric energy-harvesting interface circuit with 35mV startup voltage (2010) (70)
- Efficient Substitution of Multiple Constant Multiplications by Shifts and Additions Using Iterative Pairwise Matching (1994) (70)
- Caraoke: An E-Toll Transponder Network for Smart Cities (2015) (69)
- On-chip picosecond time measurement (2000) (68)
- A 28 nm 0.6 V Low Power DSP for Mobile Applications (2011) (67)
- 14.4 A scalable speech recognizer with deep-neural-network acoustic models and voice-activated power gating (2017) (66)
- A micropower programmable DSP powered using a MEMS-based vibration-to-electric energy converter (2000) (65)
- A 0.16mm2 completely on-chip switched-capacitor DC-DC converter using digital capacitance modulation for LDO replacement in 45nm CMOS (2010) (65)
- The Hardware and the Network: Total-System Strategies for Power Aware Wireless Microsensors (2002) (64)
- Energy-aware architectures for a Real-Valued FFT implementation (2003) (64)
- Leakage in Nanometer CMOS Technologies (Series on Integrated Circuits and Systems) (2005) (64)
- An energy/security scalable encryption processor using an embedded variable voltage DC/DC converter (1998) (63)
- Energy efficient system partitioning for distributed wireless sensor networks (2001) (63)
- A 512kb 8T SRAM Macro Operating Down to 0.57 V With an AC-Coupled Sense Amplifier and Embedded Data-Retention-Voltage Sensor in 45 nm SOI CMOS (2010) (63)
- An 8-channel scalable EEG acquisition SoC with fully integrated patient-specific seizure classification and recording processor (2012) (63)
- A Low-Power Speech Recognizer and Voice Activity Detector Using Deep Neural Networks (2018) (62)
- A micropower programmable DSP using approximate signal processing based on distributed arithmetic (2004) (62)
- A 28nm high-density 6T SRAM with optimized peripheral-assist circuits for operation down to 0.6V (2011) (60)
- 12.5 A 25µW 100kS/s 12b ADC for Wireless Micro-Sensor Applications (2006) (60)
- Sub-Threshold Design: The Challenges of Minimizing Circuit Energy (2006) (59)
- Timing, energy, and thermal performance of three-dimensional integrated circuits (2004) (59)
- Coarse acquisition for ultra wideband digital receivers (2003) (57)
- Bus Energy Reduction by Transition Pattern Coding Using a Detailed Deep Submicrometer Bus Model (2003) (56)
- A 3.4pJ FeRAM-enabled D flip-flop in 0.13µm CMOS for nonvolatile processing in digital systems (2013) (56)
- A low-power chipset for a portable multimedia I/O terminal (1994) (56)
- A High-Density 45 nm SRAM Using Small-Signal Non-Strobed Regenerative Sensing (2008) (56)
- Frequency-Domain Analysis of Super-Regenerative Amplifiers (2009) (54)
- Design considerations and tools for low-voltage digital system design (1996) (54)
- A 350 $\mu$ W CMOS MSK Transmitter and 400 $\mu$W OOK Super-Regenerative Receiver for Medical Implant Communications (2008) (54)
- Energy-Aware Design of Compressed Sensing Systems for Wireless Sensors Under Performance and Reliability Constraints (2013) (53)
- Minimum Energy Tracking Loop with Embedded DC-DC Converter Delivering Voltages down to 250mV in 65nm CMOS (2007) (53)
- A Micro-power EEG acquisition SoC with integrated seizure detection processor for continuous patient monitoring (2009) (53)
- A 6b 0.2-to-0.9V Highly Digital Flash ADC with Comparator Redundancy (2008) (52)
- A BiCMOS Ultra-Wideband 3.1–10.6-GHz Front-End (2006) (52)
- A 10 bit SAR ADC With Data-Dependent Energy Reduction Using LSB-First Successive Approximation (2014) (52)
- A 10 pJ/cycle ultra-low-voltage 32-bit microprocessor system-on-chip (2011) (51)
- Calibration of Rent's rule models for three-dimensional integrated circuits (2004) (51)
- A binary block matching architecture with reduced power consumption and silicon area requirement (1996) (50)
- A 0.7-V 1.8-mW H.264/AVC 720p Video Decoder (2009) (50)
- Application-Specific SRAM Design Using Output Prediction to Reduce Bit-Line Switching Activity and Statistically Gated Sense Amplifiers for Up to 1.9$\times$ Lower Energy/Access (2013) (50)
- Power-aware systems (2000) (49)
- A baseband processor for pulsed ultra-wideband signals (2004) (49)
- DSPs for energy harvesting sensors: applications and architectures (2005) (48)
- Energy Efficient Pulsed-UWB CMOS Circuits and Systems (2007) (48)
- A low power variable length decoder for MPEG-2 based on nonuniform fine-grain table partitioning (1999) (47)
- A 2.4 GHz Multi-Channel FBAR-based Transmitter With an Integrated Pulse-Shaping Power Amplifier (2013) (47)
- A 12 b 5-to-50 MS/s 0.5-to-1 V Voltage Scalable Zero-Crossing Based Pipelined ADC (2012) (47)
- A 0.4-V UWB baseband processor (2007) (47)
- Ultra-Low-Power Short-Range Radios (2015) (46)
- A Highly Integrated CMOS Analog Baseband Transceiver With 180 MSPS 13-bit Pipelined CMOS ADC and Dual 12-bit DACs (2006) (46)
- Cost and Coding Efficient Motion Estimation Design Considerations for High Efficiency Video Coding (HEVC) Standard (2013) (46)
- Subthreshold leakage modeling and reduction techniques [IC CAD tools] (2002) (45)
- An Energy Efficient Reconfigurable Public-Key Cryptograhpy Processor Architecture (2000) (44)
- An All-digital UWB Transmitter in 90-nm CMOS (2007) (44)
- A 6 mW, 5,000-Word Real-Time Speech Recognizer Using WFST Models (2015) (43)
- Rapid Wireless Capacitor Charging Using a Multi-Tapped Inductively-Coupled Secondary Coil (2013) (43)
- Dual scalable 500MS/s, 5b time-interleaved SAR ADCs for UWB applications (2005) (43)
- Operating System and Algorithmic Techniques for Energy Scalable Wireless Sensor Networks (2001) (43)
- An Energy-Efficient Biomedical Signal Processing Platform (2010) (43)
- Ultra-low-power UWB for sensor network applications (2008) (43)
- A reconfigurable 65nm SRAM achieving voltage scalability from 0.25–1.2V and performance scalability from 20kHz–200MHz (2008) (41)
- Digital architecture for an ultra-wideband radio receiver (2003) (41)
- An energy-efficient reconfigurable DTLS cryptographic engine for End-to-End security in iot applications (2018) (41)
- A 32-$\mu$ W 1.83-kS/s Carbon Nanotube Chemical Sensor System (2009) (41)
- Lack of Spatial Correlation in MOSFET Threshold Voltage Variation and Implications for Voltage Scaling (2009) (40)
- A Pulsed UWB Receiver SoC for Insect Motion Control (2009) (40)
- Instruction level and operating system profiling for energy exposed software (2003) (40)
- Highly Interleaved 5b 250MS/s ADC with Redundant Channels in 65nm CMOS (2008) (40)
- Energy efficient filtering using adaptive precision and variable voltage (1999) (39)
- A Test-Structure to Efficiently Study Threshold-Voltage Variation in Large MOSFET Arrays (2007) (39)
- Ultra Low-Energy Relaxation Oscillator With 230 fJ/cycle Efficiency (2016) (38)
- Low power scalable encryption for wireless systems (1998) (38)
- A low-power area-efficient switching scheme for charge-sharing DACs in SAR ADCs (2010) (37)
- Design considerations for next generation wireless power-aware microsensor nodes (2004) (37)
- Subthreshold Circuit Techniques (2004) (37)
- Scaling into ambient intelligence (2003) (36)
- A 25/spl mu/W 100kS/s 12b ADC for wireless micro-sensor applications (2006) (36)
- The effect of substrate noise on VCO performance (2005) (36)
- A Low Power Carbon Nanotube Chemical Sensor System (2008) (35)
- 20μA to 100mA DC-DC converter with 2.8 to 4.2V battery supply for portable applications in 45nm CMOS (2011) (34)
- A Highly Parallel and Scalable CABAC Decoder for Next Generation Video Coding (2011) (34)
- A sub-μW 36nV/√Hz chopper amplifier for sensors using a noise-efficient inverter-based 0.2V-supply input stage (2016) (34)
- A 10-pJ/instruction, 4-MIPS micropower DSP for sensor applications (2008) (34)
- Transition pattern coding: An approach to reduce energy in interconnect (2000) (34)
- A Noise-Efficient 36 nV/ $\surd $ Hz Chopper Amplifier Using an Inverter-Based 0.2-V Supply Input Stage (2017) (33)
- A Low-Voltage 1 Mb FRAM in 0.13 $\mu$m CMOS Featuring Time-to-Digital Sensing for Expanded Operating Margin (2012) (33)
- 22.4 A 1GS/s 10b 18.9mW time-interleaved SAR ADC with background timing-skew calibration (2014) (33)
- Upper Bounds on the Lifetime of Wireless Sensor Networks (2001) (32)
- A 249Mpixel/s HEVC video-decoder chip for Quad Full HD applications (2013) (32)
- An ultra-wideband baseband front-end (2004) (32)
- Network-driven motion estimation for wireless video terminals (1997) (32)
- A BiCMOS ultra-wideband 3.1-10.6GHz front-end (2005) (31)
- Multicore Processing and Efficient On-Chip Caching for H.264 and Future Video Decoders (2009) (31)
- Full-chip subthreshold leakage power prediction model for sub-0 . 18 m CMOS (2002) (31)
- Single-Cycle Multihop Asynchronous Repeated Traversal: A SMART Future for Reconfigurable On-Chip Networks (2013) (30)
- 27.4 A 0.75-million-point fourier-transform chip for frequency-sparse signals (2014) (30)
- Energy-Aware Hardware Implementation of Network Coding (2011) (29)
- A 128 Kbit SRAM With an Embedded Energy Monitoring Circuit and Sense-Amplifier Offset Compensation Using Body Biasing (2014) (29)
- Parallel CABAC for low power video coding (2008) (29)
- Minimizing Power Consumption in CMOS Circuits (1999) (28)
- Energy efficient real-time scheduling [microprocessors] (2001) (28)
- Low-Swing Signaling on Monolithically Integrated Global Graphene Interconnects (2010) (28)
- A framework for collaborative and distributed Web-based design (1999) (28)
- A 3.1-10.6 GHz ultra-wideband pulse-shaping mixer (2005) (28)
- 90.6% efficient 11MHz 22W LED driver using GaN FETs and burst-mode controller with 0.96 power factor (2013) (27)
- A 512kb 8T SRAM macro operating down to 0.57V with an AC-coupled sense amplifier and embedded data-retention-voltage sensor in 45nm SOI CMOS (2010) (27)
- The Effect of Random Dopant Fluctuations on Logic Timing at Low Voltage (2012) (27)
- eeDTLS: Energy-Efficient Datagram Transport Layer Security for the Internet of Things (2017) (27)
- Energy reduction in VLSI computation modules: an information-theoretic approach (2003) (27)
- Experimental study of the interplay of channel and network coding in low power sensor applications (2013) (26)
- A Low-Voltage Energy-Sampling IR-UWB Digital Baseband Employing Quadratic Correlation (2010) (26)
- Power scalable processing using distributed arithmetic (1999) (26)
- Zero-crossing detector based reconfigurable analog system (2010) (26)
- Memory-Hierarchical and Mode-Adaptive HEVC Intra Prediction Architecture for Quad Full HD Video Decoding (2014) (26)
- A 19pJ/pulse UWB transmitter with dual capacitively-coupled digital power amplifiers (2008) (25)
- 18Gb/s Optical IO: VCSEL Driver and TIA in 90nm CMOS (2007) (25)
- The design of a low power carbon nanotube chemical sensor system (2007) (25)
- 2.3 An Energy-Efficient Configurable Lattice Cryptography Processor for the Quantum-Secure Internet of Things (2019) (24)
- A 45nm 0.5V 8T column-interleaved SRAM with on-chip reference selection loop for sense-amplifier (2009) (24)
- Design of Control unit for Low Power ALU Using Reversible Logic (2011) (24)
- Enhancement-mode single-layer CVD MoS2 FET technology for digital electronics (2015) (23)
- A bipolar ±40 mV self-starting boost converter with transformer reuse for thermoelectric energy harvesting (2014) (23)
- Demonstration of a Subthreshold FPGA Using Monolithically Integrated Graphene Interconnects (2013) (23)
- Issues in Dynamic Logic Design (2001) (22)
- A Reconfigurable 8 T Ultra-Dynamic Voltage Scalable ( U-DVS ) SRAM in 65 nm CMOS (2009) (22)
- An Energy-Efficient Reconfigurable DTLS Cryptographic Engine for Securing Internet-of-Things Applications (2019) (22)
- All-Digital Circuits for Measurement of Spatial Variation in Digital Circuits (2010) (22)
- Memory cost vs. coding efficiency trade-offs for HEVC motion estimation engine (2012) (22)
- Delay-Based BPSK for Pulsed-UWB Communication (2007) (22)
- A Scalable, 2.9 mW, 1 Mb/s e-Textiles Body Area Network Transceiver With Remotely-Powered Nodes and Bi-Directional Data Communication (2013) (22)
- A 0.6V 2.9µW mixed-signal front-end for ECG monitoring (2012) (21)
- A 28nm 0.6V low-power DSP for mobile applications (2011) (21)
- Design and implementation of a scalable encryption processor with embedded variable DC/DC converter (1999) (21)
- A low-power 0.7-V H.264 720p video decoder (2008) (21)
- Energy and area-efficient hardware implementation of HEVC inverse transform and dequantization (2014) (21)
- An Energy Efficient Sub-Threshold Baseband Processor Architecture for Pulsed Ultra-Wideband Communications (2006) (21)
- Solar energy harvesting system with integrated battery management and startup using single inductor and 3.2nW quiescent power (2015) (21)
- Towards High-Performance Bufferless NoCs with SCEPTER (2016) (21)
- Direct conversion pulsed UWB transceiver architecture (2005) (20)
- Non-linear Operating Point Statistical Analysis for Local Variations in logic timing at low voltage (2010) (20)
- Analysis and implementation of charge recycling for deep sub-micron buses (2001) (20)
- Quest for high-performance bufferless NoCs with single-cycle express paths and self-learning throttling (2016) (20)
- Scaling and evaluation of carbon nanotube interconnects for VLSI applications (2007) (20)
- A 0.55V 16Mb/s 1.6mW non-coherent IR-UWB digital baseband with ±1ns synchronization accuracy (2009) (20)
- 40.4fJ/bit/mm low-swing on-chip signaling with self-resetting logic repeaters embedded within a mesh NoC in 45nm SOI CMOS (2013) (19)
- A 3.1 to 10.6 GHz 100 Mb/s Pulse-Based Ultra-Wideband Radio Receiver Chipset (2006) (19)
- A Wide Dynamic Range Buck Converter With Sub-nW Quiescent Power (2017) (19)
- A 500MS/s 5b ADC in 65nm CMOS (2006) (19)
- Energy-efficient waveform for electrical stimulation of the cochlear nerve (2017) (19)
- A/D Precision Requirements for Digital Ultra-Wideband Radio Receivers (2005) (19)
- A reconfigurable dual output low power digital PWM power converter (1998) (18)
- Multi-channel 180pJ/b 2.4GHz FBAR-based receiver (2012) (18)
- A +10 dBm BLE Transmitter With Sub-400 pW Leakage for Ultra-Low Duty Cycles (2016) (18)
- Architectures for energy-aware impulse UWB communications (2005) (18)
- A 28 nm FDSOI Integrated Reconfigurable Switched-Capacitor Based Step-Up DC-DC Converter With 88% Peak Efficiency (2014) (18)
- Wiring requirement and three-dimensional integration of field-programmable gate arrays (2001) (18)
- Clocked Storage Elements (2001) (18)
- Platform Architecture for Solar, Thermal, and Vibration Energy Combining With MPPT and Single Inductor (2012) (18)
- Small-Area, Resistive Volatile Organic Compound (VOC) Sensors Using Metal-Polymer Hybrid Film Based on Oxidative Chemical Vapor Deposition (oCVD). (2015) (17)
- A 0.68V 0.68mW 2.4GHz PLL for ultra-low power RF systems (2015) (17)
- A 110µW 10Mb/s etextiles transceiver for body area networks with remote battery power (2010) (17)
- A self-aware processor SoC using energy monitors integrated into power converters for self-adaptation (2014) (17)
- Hardware-aware motion estimation search algorithm development for high-efficiency video coding (HEVC) standard (2012) (17)
- PRAC: Exploiting partial packets without cross-layer or feedback information (2014) (17)
- An Efficient Routing Protocol Design for Distributed Wireless Sensor Networks (2010) (16)
- 13.7 A +10dBm 2.4GHz transmitter with sub-400pW leakage and 43.7% system efficiency (2015) (16)
- A low power, low bandwidth protocol for remote wireless terminals (1996) (16)
- An Actively Detuned Wireless Power Receiver With Public Key Cryptographic Authentication and Dynamic Power Allocation (2018) (16)
- A low-voltage 1Mb FeRAM in 0.13μm CMOS featuring time-to-digital sensing for expanded operating margin in scaled CMOS (2011) (16)
- Advances in Ultra-Low-Voltage Design (2008) (16)
- A Supply-Rail-Coupled eTextiles Transceiver for Body-Area Networks (2011) (16)
- A 6.5 GHz CMOS FSK modulator for wireless sensor applications (2002) (16)
- 21.1 Nanowatt circuit interface to whole-cell bacterial sensors (2017) (16)
- An ultra low power adaptive wavelet video encoder with integrated memory (2000) (15)
- Low Power Techniques for Portable Real-time DSP Applications (1992) (15)
- A −80dBm BLE-compliant, FSK wake-up receiver with system and within-bit dutycycling for scalable power and latency (2018) (15)
- ystem Shutdown and Other rchitectural Techniques for Energy rogrammable Computation (1996) (14)
- A nonvolatile flip-flop-enabled cryptographic wireless authentication tag with per-query key update and power-glitch attack countermeasures (2018) (14)
- OpenDesign: an open user-configurable project environment for collaborative design and execution on the Internet (2000) (14)
- A high throughput CABAC algorithm using syntax element partitioning (2009) (14)
- 24.1 A 0.6V 8mW 3D vision processor for a navigation device for the visually impaired (2016) (13)
- 16.2 A Keccak-based wireless authentication tag with per-query key update and power-glitch attack countermeasures (2016) (13)
- Sources of Power Consumption (1995) (13)
- Out of Thin Air: Energy Scavenging and the Path to Ultralow-Voltage Operation (2012) (12)
- 10.8 A Buck converter with 240pW quiescent power, 92% peak efficiency and a 2×106 dynamic range (2017) (12)
- A highly integrated analog baseband transceiver featuring a 12-bit 180MSPS pipelined A/D converter for multi-channel wireless LAN (2004) (12)
- A 0.36V 128Kb 6T SRAM with energy-efficient dynamic body-biasing and output data prediction in 28nm FDSOI (2016) (12)
- A 3-D FPGA wire resource prediction model validated using a 3-D placement and routing tool (2005) (12)
- Design of Low-Voltage Digital Building Blocks and ADCs for Energy-Efficient Systems (2012) (12)
- A 12b 5-to-50MS/s 0.5-to-1V voltage scalable zero-crossing based pipelined ADC (2011) (12)
- Standby voltage scaling for reduced power (2003) (12)
- 0.3 V ultra-low power sensor interface for EMG (2017) (12)
- Energy efficient OOK transceiver for wireless sensor networks (2006) (12)
- Architectural Exploration Using Verilog-based Power Estimation: A Case Study Of The Idct (1997) (12)
- Ultrasonic imaging front-end design for CMUT: A 3-level 30Vpp pulse-shaping pulser with improved efficiency and a noise-optimized receiver (2012) (11)
- A 78 pW 1 b/s 2.4 GHz radio transmitter for near-zero-power sensing applications (2013) (11)
- EMG-based Real Time Facial Gesture Recognition for Stress Monitoring (2018) (11)
- Ultra low power digital signal processing (1996) (11)
- Energy efficient programmable computation (1994) (11)
- Emerging Terahertz Integrated Systems in Silicon (2021) (11)
- Power Estimation and Power Optimal Communication in Deep Submicron Buses: Analytical Models and Statistical Measures (2002) (11)
- A 0.077 to 0.168 nJ/bit/iteration scalable 3GPP LTE turbo decoder with an adaptive sub-block parallel scheme and an embedded DVFS engine (2010) (11)
- An ultra low power variable length decoder for MPEG-2 exploiting codeword distribution (1998) (11)
- A Low-Power Dual-Factor Authentication Unit for Secure Implantable Devices (2020) (11)
- Energy-efficient communication for high density networks (2003) (10)
- Reconfigurable Processor for Energy-Efficient Computational Photography (2013) (10)
- Silicon Layer Stacking Enabled by Wafer Bonding (2006) (10)
- Leaky Nets: Recovering Embedded Neural Network Models and Inputs Through Simple Power and Timing Side-Channels—Attacks and Defenses (2021) (10)
- Techniques for Leakage Power Reduction (2001) (10)
- Ultra Low Voltage SRAM Design (2009) (10)
- Thermal energy harvesting for self-powered smart home sensors (2016) (10)
- A Fully Integrated Energy-Efficient H.265/HEVC Decoder With eDRAM for Wearable Devices (2017) (10)
- Ultra-Fast Bit-Level Frequency-Hopping Transmitter for Securing Low-Power Wireless Devices (2018) (10)
- Joint algorithm-architecture optimization of CABAC to increase speed and reduce area cost (2011) (9)
- 29.8 SHARC: Self-Healing Analog with RRAM and CNFETs (2019) (9)
- A vertical solenoid inductor for noise coupling minimization in 3D-IC (2015) (9)
- Leakage Dependence on Input Vector (2006) (9)
- Energy-Efficient Reconfigurable SRAM: Reducing Read Power Through Data Statistics (2017) (9)
- HEVC interpolation filter architecture for quad full HD decoding (2013) (9)
- An SRAM using output prediction to reduce BL-switching activity and statistically-gated SA for up to 1.9× reduction in energy/access (2013) (9)
- CMOS Scaling and Issues in Sub0.25 m Systems (2001) (9)
- Web-based distributed VLSI design (1998) (9)
- Self-reconfigurable micro-implants for cross-tissue wireless and batteryless connectivity (2020) (9)
- An energy-efficient IEEE 1363-based reconfigurable public-key cryptography processor (2001) (9)
- A 0.31-THz Orbital-Angular-Momentum (OAM) Wave Transceiver in CMOS With Bits-to-OAM Mode Mapping (2022) (8)
- Does Fully Homomorphic Encryption Need Compute Acceleration? (2021) (8)
- An ASIC for Energy-Scalable, Low-Power Digital Ultrasound Beamforming (2016) (8)
- A framework for distributed Web-based microsystem design (1997) (8)
- A pilot study to determine vitiligo target size using a computer-based image analysis program. (2015) (8)
- Reduction of Variation-Induced Energy Overhead in Multi-Core Processors (2011) (8)
- Quad Full-HD Transform Engine for Dual-Standard Low-Power Video Coding (2012) (8)
- An embedded energy monitoring circuit for a 128kbit SRAM with body-biased sense-amplifiers (2012) (8)
- Quad Full-HD transform engine for dual-standard low-power video coding (2011) (8)
- Reconfigurable processor for energy-scalable computational photography (2013) (8)
- Heterogeneous Integration of BEOL Logic and Memory in a Commercial Foundry: Multi-Tier Complementary Carbon Nanotube Logic and Resistive RAM at a 130 nm node (2020) (8)
- A micropower dsp for sensor applications (2008) (8)
- Harnessing Partial Packets in Wireless Networks: Throughput and Energy Benefits (2017) (8)
- Efficient 11 MHz 22 W LED Driver Using GaN FETs and Burst-Mode Controller with 0 . 96 Power Factor (2012) (7)
- Memory-Efficient Modeling and Search Techniques for Hardware ASR Decoders (2016) (7)
- A 3.4-pJ FeRAM-Enabled D Flip-Flop in 0.13-$\mu \hbox{m}$ CMOS for Nonvolatile Processing in Digital Systems (2014) (7)
- High-yield large area MoS2 technology: Material, device and circuits co-optimization (2016) (7)
- MICROFLUIDIC ELECTRIC IMPEDANCE SPECTROSCOPY FOR MALARIA DIAGNOSIS (2012) (7)
- Software energy profiling (2002) (7)
- 27.2 A 6mW 5K-Word real-time speech recognizer using WFST models (2014) (7)
- An all-digital, highly scalable architecture for measurement of spatial variation in digital circuits (2008) (7)
- Power Gating and Dynamic Voltage Scaling (2006) (7)
- A highly-integrated CMOS analog baseband transceiver with 180MSPS 13b pipelined CMOS ADC and dual 12b DACs (2005) (7)
- Signal Processing for an Ultra Low Power Wireless Video Camera (1997) (7)
- A scalable 2.9mW 1Mb/s eTextiles body area network transceiver with remotely powered sensors and bi-directional data communication (2013) (7)
- Substrate noise analysis and experimental verification for the efficient noise prediction of a digital PLL (2005) (7)
- 4.2 pW timer for heavily duty-cycled systems (2015) (7)
- Design of low power variable length decoder using fine grain non-uniform table partitioning (1997) (7)
- S2ADC: A 12-bit, 1.25-MS/s Secure SAR ADC With Power Side-Channel Attack Resistance (2021) (7)
- Techniques for Driving Interconnect (2001) (7)
- IEEE Journal on Emerging and Selected Topics in Circuits and Systems information for authors (2018) (6)
- A ZVS resonant receiver with maximum efficiency tracking for device-to-device wireless charging (2016) (6)
- Register Files and Caches (2001) (6)
- An Energy Ecient Recongurable Public-Key Cryptography Processor Architecture ? (2000) (6)
- Maximum achievable energy reduction using coding with applications to deep sub-micron buses (2002) (6)
- CMOS THz-ID: A 1.6-mm² Package-Less Identification Tag Using Asymmetric Cryptography and 260-GHz Far-Field Backscatter Communication (2021) (6)
- An Energy-Efficient Reconfigurable Public-Key (2001) (6)
- Micropower wireless sensors (2006) (6)
- Dynamic Power Management in Sensor Networks (2004) (6)
- A 440pJ/bit 1Mb/s 2.4GHz multi-channel FBAR-based TX and an integrated pulse-shaping PA (2012) (6)
- An oscilloscope array for high-impedance device characterization (2009) (6)
- 29.8 THzID: A 1.6mm2 Package-Less Cryptographic Identification Tag with Backscattering and Beam-Steering at 260GHz (2020) (6)
- A Random Linear Network Coding Accelerator in a 2.4GHz Transmitter for IoT Applications (2017) (6)
- Accelerating Post-Quantum Cryptography using an Energy-Efficient TLS Crypto-Processor (2020) (6)
- 18.2 A fully-implantable cochlear implant SoC with piezoelectric middle-ear sensor and energy-efficient stimulation in 0.18μm HVCMOS (2014) (6)
- Research challenges in wireless multimedia (1994) (6)
- Beyond Crypto: Physical-Layer Security for Internet of Things Devices (2020) (5)
- MoS2 FET fabrication and modeling for large-scale flexible electronics (2015) (5)
- Special issue on low-power RF systems (2000) (5)
- A low-power integrated power converter for an electromagnetic vibration energy harvester with 150 mV-AC cold startup, frequency tuning, and 50 Hz AC-to-DC conversion (2018) (5)
- Basics of Low Power Circuit and Logic Design (1997) (5)
- Convergence results on adaptive approximate filtering (1996) (5)
- A 180MS/s, 162Mb/s wideband three-channel baseband and MAC processor for 802.11 a/b/g (2005) (5)
- Flexible Low Power CNN Accelerator for Edge Computing with Weight Tuning (2019) (5)
- CompAcc: Efficient Hardware Realization for Processing Compressed Neural Networks Using Accumulator Arrays (2020) (5)
- Joint Algorithm-Architecture Optimization of CABAC (2012) (5)
- FAB: An FPGA-based Accelerator for Bootstrappable Fully Homomorphic Encryption (2022) (5)
- Cell Library Characterization at Low Voltage Using Non-linear Operating Point Analysis of Local Variations (2011) (5)
- The Association for Computing Machinery/Special Interest Group on Design Automation (ACWSIGDA) presents its Distinguished Service Award (2004) (5)
- An Energy-Scalable Accelerator for Blind Image Deblurring (2017) (5)
- Coding Under Observation Constraints (2007) (5)
- Prospective Evaluation of the Transparent, Elastomeric, Adaptable, Long-Lasting (TEAL) Respirator (2020) (5)
- A low-power wireless camera system (1999) (4)
- S2ADC: A 12-bit, 1.25MS/s Secure SAR ADC with Power Side-Channel Attack Resistance (2020) (4)
- Synthesis and selection of DCT algorithms using behavioral synthesis-based algorithm space exploration (1995) (4)
- A 25 mV-startup cold start system with on-chip magnetics for thermal energy harvesting (2017) (4)
- Design considerations for a future portable multimedia terminal (1992) (4)
- Low Voltage Technologies and Circuits (1998) (4)
- Hardware Trojan Detection Using Unsupervised Deep Learning on Quantum Diamond Microscope Magnetic Field Images (2022) (4)
- Network driven motion estimation for portable video terminals (1997) (4)
- Enabling simultaneously bi-directional TSV signaling for energy and area efficient 3D-ICs (2016) (4)
- A Data-Driven IDCT Architecture for Low Power Video Applications (1996) (4)
- Low-power on-chip network providing guaranteed services for snoopy coherent and artificial neural network systems (2017) (4)
- A 1 Mbs energy/security scalable encryption processor using adaptive width and supply (1998) (4)
- Power-Aware Wireless Microsensor Networks (2002) (4)
- A Low-Power Elliptic Curve Pairing Crypto-Processor for Secure Embedded Blockchain and Functional Encryption (2021) (4)
- A digitally-assisted sensor interface for biomedical applications (2010) (4)
- The mixed signal optimum energy point: Voltage and parallelism (2008) (3)
- Low Power Sensor Networks (2002) (3)
- Energy efficient software through dynamic voltage scheduling (1999) (3)
- System energy model for a digital ultrasound beamformer with image quality control (2012) (3)
- A +10dBm 2.4GHz Transmitter with sub-400pW Leakage and 43.7% System Efficiency Citation (2015) (3)
- mW 3 D Vision Processor for a Navigation Device for the Visually Impaired (2016) (3)
- A 0.31THz CMOS Uniform Circular Antenna Array Enabling Generation/Detection of Waves with Orbital-Angular Momentum (2021) (3)
- Traceback-enhanced MAP decoding algorithm (2004) (3)
- Energy-effiecient DSPs for wireless sensor networks - IEEE Signal Processing Magazine (2001) (3)
- Enabling Sub-nW RF circuits through subthreshold leakage management (2013) (3)
- Single-BAW multi-channel transmitter with low power and fast start-up time (2017) (3)
- I/O and ESD Circuit Design (2001) (3)
- Efficient Binary Cnn For Medical Image Segmentation (2021) (3)
- An efficient Piezoelectric energy-harvesting i nterface Circuit (2009) (3)
- Introduction to the Special Issue on the ISSCC2004 (2005) (3)
- SonicPACT: An Ultrasonic Ranging Method for the Private Automated Contact Tracing (PACT) Protocol (2020) (3)
- Analog-digital partitioning and coupling in 3D-IC for RF applications (2016) (3)
- Reconfigurable, conditional pre-charge SRAM: Lowering read power by leveraging data statistics (2016) (3)
- Randomized Switching SAR (RS-SAR) ADC Protections for Power and Electromagnetic Side Channel Security (2022) (3)
- Analysis and Implementation of Charge Recycling for Deep Submicron Buses (2001) (3)
- Technologies for Ultradynamic Voltage Scaling Circuits such as logic cells, static random access memories, analog-digital converters and dc-dc converters can be used as building blocks for applications that can function efficiently over a wide range of supply voltages. (2010) (3)
- Secure Routing in Cluster based Wireless Sensor Networks using Symmetric Cryptography with Session Keys (2012) (3)
- A 28nm FDSOI integrated reconfigurable switched-capacitor based step-up DC-DC converter with 88% peak efficiency (2014) (3)
- SCORPIO: 36-core shared memory processor demonstrating snoopy coherence on a mesh interconnect (2014) (3)
- Special topic evening session - SE inductance: implications and solutions for high-speed digital circuits (2002) (3)
- An offset-cancelling four-phase voltage sense amplifier for resistive memories in 14nm CMOS (2017) (3)
- Probabilistic Crosstalk Delay Estimation for ASICs (2004) (3)
- Wireless, Batteryless, and Secure Implantable System-on-a-Chip for 1.37mmHg Strain Sensing with Bandwidth Reconfigurability for Cross-Tissue Adaptation (2022) (2)
- Approximate Processing and Incremental Refinement Concepts (1995) (2)
- Energy Savings via Harnessing Partial Packets in Body Area Networks (2014) (2)
- Special Section on Low-Power Electronics and Design (1998) (2)
- Near-Field Wireless Power Transfer (2015) (2)
- 40 . 4 fJ / bit / mm Low-Swing On-Chip Signaling with Self-Resetting Logic Repeaters Embedded within a Mesh NoC in 45 nm SOI CMOS (2012) (2)
- A 770 kS/s Duty-Cycled Integrated-Fluxgate Magnetometer for Contactless Current Sensing (2021) (2)
- Design of HighSpeed CMOS PLLs and DLLs (2001) (2)
- Voltage reduction techniques for portable systems (1997) (2)
- Pulsed Ultra-Wideband Transceivers (2015) (2)
- A fully-integrated energy-efficient H.265/HEVC decoder with eDRAM for wearable devices (2017) (2)
- A Threshold-Based Bioluminescence Detector With a CMOS-Integrated Photodiode Array in 65 nm for a Multi-Diagnostic Ingestible Capsule (2023) (2)
- AdaptCast: An integrated source to transmission scheme for wireless sensor networks (2015) (2)
- Conformable Ultrasound Patch with Energy-efficient In-memory Computation for Bladder Volume Monitoring (2020) (2)
- Low power electronics and design symposium preview (2001) (2)
- Energy-Efficient Speaker Identification with Low-Precision Networks (2018) (2)
- Executive Summary: Advances in Ultra-Low-Voltage Design (2008) (2)
- Efficient Post-Quantum TLS Handshakes using Identity-Based Key Exchange from Lattices (2020) (2)
- 7 A 65 nm Sub-V , Microcontroller with Integrated SRAM and Switched-Capacitor DC-DC Converter (2007) (2)
- Zero-Crossing-Based Bio-Engineered Sensor (2021) (2)
- A Silicon MEMS EM vibration energy harvester (2019) (2)
- MEMS-based Vibration-to-Electric Energy Converter (2000) (2)
- Rethinking Empirical Evaluation of Adversarial Robustness Using First-Order Attack Methods (2020) (2)
- Adiabatic Logic Circuits (1998) (2)
- Low power design without compromise (panel) (1997) (1)
- Application-Specific SRAM Design Using Output Prediction to Reduce Bit-Line Switching Activity and Statistically Gated Sense Amplifiers for Up to 1 . 9 x Lower (2015) (1)
- Modular Optoelectronic System for Wireless, Programmable Neuromodulation During Free Behavior (2020) (1)
- Proceedings of the 1998 International Symposium on Low Power Electronics and Design, 1998, Monterey, California, USA, August 10-12, 1998 (1998) (1)
- Low-Power Impulse UWB Architectures and Circuits Pulsed ultrawide band provides the energy needed for a high data-rate battery-operated transceiver, and a low data-rate transceiver suitable for battery-less operation. (2009) (1)
- Energy-efficient waveform for electrical stimulation of the cochlear nerve (2017) (1)
- 8.4 a 65nm 8t Sub-v T Sram Employing Sense-amplifier Redundancy (2007) (1)
- Zero-Crossing Detector Based Reconfigurable Analog System (2010) (1)
- A Low-Power BLS12-381 Pairing Cryptoprocessor for Internet-of-Things Security Applications (2022) (1)
- RaM-SAR: A Low Energy and Area Overhead, 11.3fJ/conv.-step 12b 25MS/s Secure Random-Mapping SAR ADC with Power and EM Side-channel Attack Resilience (2022) (1)
- A Dual-Antenna, 263-GHz Energy Harvester in CMOS for Ultra-Miniaturized Platforms with 13.6% RF-to-DC Conversion Efficiency at −8 dBm Input Power (2022) (1)
- Active GHz clock network using distributed PLLs (2000) (1)
- Soi Technology and Circuits (2001) (1)
- Adaptive Supply Voltage Delivery for Ultra-dynamic Voltage Scaled Systems (2008) (1)
- Hot Carrier Reliability (2001) (1)
- Proceedings of the 1997 International Symposium on Low Power Electronics and Design, 1997, Monterey, California, USA, August 18-20, 1997 (1997) (1)
- Full-chip sub-threshold leakage power prediction model for sub-0.18 /spl mu/m CMOS (2002) (1)
- 21.8 An actively detuned wireless power receiver with public key cryptographic authentication and dynamic power allocation (2017) (1)
- An energy-scalable accelerator for blind image deblurring (2016) (1)
- Physical-Layer Security for THz Communications via Orbital Angular Momentum Waves (2022) (1)
- Substrate Bias Controlled Variable Threshold CMOS (1998) (1)
- Decoder Hardware Architecture for HEVC (2014) (1)
- Impact of Black Hole and Sink Hole Attacks on Routing Protocols for WSN (2019) (1)
- A ThreshoId-ImpIementation-Based Neural-Network Accelerator Securing Model Parameters and Inputs Against Power Side-Channel Attacks (2022) (1)
- Circuit Styles for Logics (2001) (1)
- An Energy-Efficient, Fully Integrated 1920x1080 H.265/HEVC Decoder with eDRAM (2017) (1)
- A Threshold Implementation-Based Neural Network Accelerator With Power and Electromagnetic Side-Channel Countermeasures (2023) (1)
- Low-thermal-budget synthesis of monolayer molybdenum disulfide for silicon back-end-of-line integration on a 200 mm platform. (2023) (1)
- Technique for Efficient Evaluation of SRAM Timing Failure (2012) (1)
- Fast algorithm for clock grid simulation (2002) (1)
- Voltage Scaling Approaches (1995) (1)
- Circuit and Technology Trends for Low-Power/High-Performance DSP (1997) (1)
- Self-aware Computing in the Angstrom Processor Citation (2012) (1)
- Single-Cycle Asynchronous Traversal: A SMART Future for Reconfigurable On-Chip Networks (2013) (1)
- Power Analysis Techniques (1998) (1)
- SCORPIO (2014) (1)
- COMMON SUBEXPRESSIONS IN A LINEAR SYSTEM (2017) (0)
- Session A1: University LSI design contest (special session) (2000) (0)
- Multiple Threshold CMOS (MTCMOS) (1998) (0)
- Power Optimization Techniques (1998) (0)
- Electromechanical theory of microelectromechanical devices (2014) (0)
- In Memory of Paul Penfield Jr. (1933–2021) [people] (2021) (0)
- An Efficient Routing Protocol Design for Distributed Wireless Sensor Networks (2020) (0)
- Memory System Design (2001) (0)
- Power Aware Embedded Operating System Design Personnel Energy Curve Engineering Personnel (0)
- Traceback-Based Optimizations for Maximum a Posteriori Decoding Algorithms (2008) (0)
- Pulse-Based, 100 Mbps UWB Transceiver (2008) (0)
- USING FINE GRAIN NON RIABLE LENGTH DECODER ORM TABLE PARTITIONING (1997) (0)
- Computer-Assisted Prototyping of Advanced Microsystems (1997) (0)
- CAD Tools and Test (2001) (0)
- List-a (incomplete) (2007) (0)
- SERIE S ON INTEGRATED CIRCUITS AND SYSTEMS (2005) (0)
- Understanding the Energy vs. Adversarial Robustness Trade-Off in Deep Neural Networks (2021) (0)
- EP1: Antiques from the innovations attic (2013) (0)
- Design of a 3-Dimension FPGA by Payam Lajevardi (2014) (0)
- Techniques to Increase the Lifetime of Wireless Sensor Network–A Routing Approach (2020) (0)
- MIT Open Access Articles CONV-SRAM: An Energy-Efficient SRAM With In-Memory Dot-Product Computation for Low-Power Convolutional Neural Networks (2022) (0)
- Interconnect and I/O (2001) (0)
- Batteryless, Wireless, and Secure SoC for Implantable Strain Sensing (2023) (0)
- IEEE Executive Committee (2020) (0)
- Design of a 3-Dimension FPGA by Payam Lajevardi (2014) (0)
- Next generation energy scavenging systems (2009) (0)
- Power-aware architectures and circuits for FPGA-based signal processing (2003) (0)
- Session 1 overview: Plenary Session (2010) (0)
- Testing of HighPerformance Processors (2001) (0)
- Understanding the Energy vs. Adversarial Robustness Trade-Off in Deep Neural Networks (2021) (0)
- Efficient DCDC Conversion and Adaptive Power Supply Systems (1998) (0)
- EP1: Next-generation networked systems-challenges for silicon (2014) (0)
- Adaptive Power Supply Systems (1998) (0)
- A Survey about Applications, Issues and Challenges of Sensor Network (2018) (0)
- Conventional Circuit and Logic Styles (1998) (0)
- Low-swing Signaling for Energy Efficient On-chip Networks Low-swing Signaling for Energy Efficient On-chip Networks (2011) (0)
- 6 Reconfigurable Processor for Energy-Scalable Computational Photography (2012) (0)
- Scalable Sram Design Scalable Sram Design (0)
- MIT Open Access Articles A 0.6V, 8mW 3D Vision Processor for a Navigation Device for the Visually Impaired (2022) (0)
- An 8t reconfigurable SrAm in 65-nm CmOS Achieving 0.25-1.2V Operating Voltage r (2009) (0)
- Securing Embedded Medical Devices using Dual-Factor Authentication (2021) (0)
- Distributed active clock network (1999) (0)
- Energy Efficient Data Aggregation using Voronoi based Genetic Clustering Algorithm in WSN (2020) (0)
- An Energy-efficient Ultra-wideband Radio Receiver (2006) (0)
- Design and Analvsis of Power Distribution Networks (2001) (0)
- HighSpeed InterChip Signaling (2001) (0)
- Two-dimensional materials based transparent flexible electronics (2015) (0)
- Analyzing OnChip Interconnect Effects (2001) (0)
- Overview of ComputerAided Design Tools (2001) (0)
- Circuit and Logic Styles (1998) (0)
- CAD for Tile-based 3-D Field Programmable Gate Arrays (2006) (0)
- 6.111 Introductory Digital Systems Laboratory, Spring 2004 (2004) (0)
- Joint Algorithm-Architecture Optimization of CABAC (2012) (0)
- Chapter 12 Power-Aware Wireless Microsensor Networks (0)
- HighSpeed VLSI Arithmetic Units: Adders and Multipliers (2001) (0)
- Process Variation and Adaptive Design (2006) (0)
- Bilateral Filter ! Bilateral Filter ! Grid ! Assignment ! Convolution ! Engine ! (2015) (0)
- Efficient Low Voltage DCDC Converter Design (1998) (0)
- SilicononInsulator Based Technologies (1998) (0)
- A Bit-level Sparsity-aware SAR ADC with Direct Hybrid Encoding for Signed Expressions for AIoT Applications (2022) (0)
- Digitally-Assisted Analog Front-end for Biomedical Sensors (2009) (0)
- Ultra-Low-Energy Sub-Threshold Circuits: Program Overview (2007) (0)
- Dedicated and Programmable Digital Signal Processors (1998) (0)
- A Nonvolatile Flip-Flop-Enabled Cryptographic Wireless Authentication Tag With Per-Query Key Update and Power-Glitch Attack Countermeasures (2018) (0)
- Digital Signal Processing Research Program (1997) (0)
- Comparative Analysis of Delay and Variability of D Flip-Flops (2020) (0)
- Error-correcting Codes that Minimize Receiver Turn-on Time (0)
- Query based Dynamic Clustering in Wireless Sensor Networks (2016) (0)
- Impact of Physical Technology on Architecture (2001) (0)
- Query based Dynamic Clustering in Wireless Sensor Networks (2016) (0)
- Guest editors' introduction (1996) (0)
- Portable Terminal Electronics (1998) (0)
- Recode then LSB-first SAR ADC for Reducing Energy and Bit-cycles (2018) (0)
- Architectures for Ultra-Low-Power Multi-Channel Resonator-Based Wireless Transceivers (2015) (0)
- Threshold Voltage Scaling and Control (1998) (0)
- General Purpose Processor Design (1998) (0)
- Low Power Electronics and System Architecture (2006) (0)
- An Energy-efficient Configurable Accelerator for Post-quantum Lattice-based Cryptography (2020) (0)
- Modified Multiply and Accumulate Unit with Hybrid Encoded Reduced Transition Activity Technique Equipped Multiplier and Low Power 0.13μm Adder for Image Processing Applications (2016) (0)
- Five AdCom members elected to serve 2003–2005 (2003) (0)
- Randomized Clustering Scheme for Heterogeneous Wireless Sensor Networks (2018) (0)
- A Sampling Jitter-tolerant Pipelined ADC (0)
- Guest Editorial Emerging Circuits and Systems Techniques for Ultra-Low Power Body Sensor Networks (2012) (0)
- Computer Aided Design Tools (1998) (0)
- Hardware Trojan Detection using Unsupervised Deep Learning on High Spatial Resolution Magnetic Field Measurements (2021) (0)
- Session details: Special session: emerging directions in wireless (2005) (0)
- Efficient CNNs and Energy Efficient SRAM Design for ubiquitous medical devices (2021) (0)
- SE1 Architectures and Circuits for Ultra Wideband Radio (2004) (0)
- Basic Logic Families (2001) (0)
- Sapphire-Sim: Macro-Op-Level Simulator for Ring-LWE and Module-LWE Hardware Acceleration (2019) (0)
- Minimizing Switched Capacitance (1995) (0)
- Reconfigurable Architectures for Energy-Efficient , Algorithm-Agile Cryptography Personnel (2000) (0)
- 150nA IQ, Quad Input - Quad Output, Intelligent Integrated Power Management for IoT Applications (2021) (0)
- A Low-voltage, Fault-tolerant m icroprocessor (2009) (0)
- Integrating biopolymer design with physical unclonable functions for anticounterfeiting and product traceability in agriculture (2023) (0)
- An Energy-Efficient Routing Scheme Using Energy Density in Wireless Sensor Networks (2015) (0)
- Technologies for wireless computing (1996) (0)
- Foreword - power-aware systems (2003) (0)
- Randomized Switching SAR (RS-SAR) ADC for Power and EM Side-Channel Security (2022) (0)
- Section 2 Digital Signal Processing (2009) (0)
- Carbon Nanotube-CMOS Chemical Sensor Integration (2006) (0)
- Chapter 5 Adaptive Supply Voltage Delivery (2008) (0)
- Correction to "Reconfigurable Processor for Energy-Efficient Computational Photography" (2014) (0)
- Electrolyte Solutions and Electrochemistry (2008) (0)
This paper list is powered by the following services:
What Schools Are Affiliated With Anantha P. Chandrakasan?
Anantha P. Chandrakasan is affiliated with the following schools: