Burn-Jeng Lin
#15,414
Most Influential Person Now
Taiwanese engineer
Burn-Jeng Lin's AcademicInfluence.com Rankings
Burn-Jeng Linengineering Degrees
Engineering
#237
World Rank
#453
Historical Rank
Electrical Engineering
#32
World Rank
#40
Historical Rank
Applied Physics
#2962
World Rank
#3025
Historical Rank
Download Badge
Engineering
Burn-Jeng Lin's Degrees
- Bachelors Electrical Engineering National Taiwan University
- Masters Electrical Engineering National Taiwan University
- PhD Electrical Engineering National Taiwan University
Why Is Burn-Jeng Lin Influential?
(Suggest an Edit or Addition)According to Wikipedia, Burn-Jeng Lin is a Taiwanese electrical engineer. Education After graduating from National Taiwan University in 1963 with a degree in electrical engineering, Lin earned his doctorate in the same subject from Ohio State University in 1970.
Burn-Jeng Lin's Published Works
Published Works
- Deep uv lithography (1975) (142)
- Immersion lithography and its impact on semiconductor manufacturing (2004) (121)
- Ultrafast deep UV Lithography with excimer lasers (1982) (83)
- THE ATTENUATED PHASE-SHIFTING MASK (1992) (66)
- Optical lithography—present and future challenges (2006) (63)
- The k3 coefficient in non-paraxial (lambda)/NA scaling equations for resolution, depth of focus, and immersion lithography (2002) (60)
- The future of subhalf-micrometer optical lithography (1987) (46)
- Ultrafast high-resolution contact lithography with excimer lasers (1982) (44)
- Phase-shifting and other challenges in optical mask technology (1991) (42)
- The ending of optical lithography and the prospects of its successors (2006) (40)
- Future of multiple-e-beam direct-write systems (2012) (38)
- Where Is The Lost Resolution? (1986) (36)
- A critical examination of submicron optical lithography using simulated projection images (1983) (33)
- Multi-Layer Resist Systems (1983) (29)
- Semiconductor foundry, lithography, and partners (2002) (29)
- Optical Methods for Fine Line Lithography (1980) (29)
- Two threshold resist models for optical proximity correction (2004) (28)
- Making lithography work for the 7-nm node and beyond in overlay accuracy, resolution, defect, and cost (2015) (28)
- Hybrid e‐beam/deep UV exposure using portable conformable masking (PCM) technique (1979) (27)
- Portable Conformable Mask-A Hybrid Near-Ultraviolet And Deep-Ultraviolet Patterning Technique (1979) (26)
- The Exposure-Defocus Forest (1994) (25)
- Methods to print optical images at low-k1 factors (1990) (23)
- Phase-shifting masks gain an edge (1993) (22)
- Double exposure for the contact layer of the 65-nm node (2005) (21)
- Sober view on extreme ultraviolet lithography (2006) (20)
- Marching of the microlithography horses: electron, ion, and photon: past, present, and future (2007) (20)
- Characteristics performance of production-worthy multiple e-beam maskless lithography (2010) (20)
- Practicing the Novolac deep‐UV portable conformable masking technique (1981) (19)
- Electromagnetic Near-Field Diffraction of a Medium Slit* (1972) (19)
- A comparison of projection and proximity printings - from UV to X-ray (1990) (18)
- NGL comparable to 193-nm lithography in cost, footprint, and power consumption (2009) (15)
- Vibration Tolerance in Optical Imaging (1989) (15)
- Overlay similarity: a new overlay index for metrology tool and scanner overlay fingerprint methodology (2009) (15)
- Comparison of projection and proximity printings--from UV to x ray (1990) (14)
- Influence of shot noise on CDU with DUV, EUV, and E-beam (2008) (14)
- The Paths To Subhalf-Micrometer Optical Lithography (1988) (13)
- Deep-UV conformable-contact photolithography for bubble circuits (1976) (13)
- Simulation of optical projection with polarization- dependent stray light to explore the difference between dry and immersion lithography (2004) (13)
- Lithography till the end of Moore's law (2012) (13)
- Thin-film optimization strategy in high numerical aperture optical lithography, part 1: principles (2005) (13)
- Low-k1 optical lithography for 100 nm logic technology and beyond (2001) (13)
- Mask cost and cycle time reduction (2003) (12)
- Depth of focus in multilayered media—a long-neglected phenomenon aroused by immersion lithography (2004) (11)
- Characterization of ArF immersion process for production (Invited Paper) (2005) (11)
- Thin-film optimization strategy in high numerical aperture optical lithography, part 2: applications to ArF (2005) (10)
- Off-axis illumination--working principles and comparison with alternating phase-shifting masks (1993) (10)
- Optical lithography with and without NGL for single-digit nanometer nodes (2015) (10)
- Phenomena and OPC solution of ripple patterns for 65-nm node (2004) (9)
- Optimum numerical aperture for optical projection microlithography (1991) (9)
- 90-nm lithography process characterization using ODP scatterometry technology (2004) (9)
- Patterning fidelity on low-energy multiple-electron-beam direct write lithography (2008) (9)
- Journal of Micro/Nano Lithography, MEMS, and MOEMS (2006) (8)
- New λ/NA scaling equations for resolution and depth-of-focus (2000) (8)
- Defect dispositioning using mask printability analysis on alternating phase-shifting masks (2002) (7)
- The optimum numerical aperture for attenuated phase-shifting masks (1992) (7)
- Watermark defect formation and removal for immersion lithography (2006) (7)
- A new perspective on proximity printing: From ultraviolet to x ray (1990) (7)
- Next-Generation Lithography (2007) (7)
- Phase and transmission error study for the alternating-element (Levenson) phase-shifting mask (1992) (6)
- Effect of various ArF resist shrinkage amplitudes on CD bias (2002) (6)
- Study of mask corner rounding effects on lithographic patterning for 90-nm technology node and beyond (2004) (6)
- Application of 3D scatterometry to contacts and vias at 90nm and beyond (2005) (6)
- Application of scatterometry for CD and profile metrology in 193-nm lithography process development (2003) (6)
- Ultrafast deep UV lithography using excimer lasers (1983) (6)
- OPC modeling by genetic algorithm (2005) (6)
- Influence of data volume and EPC on process window in massively parallel e-beam direct write (2013) (6)
- Global CD uniformity improvement using dose modulation and pattern correction of pattern density-dependent and position-dependent errors (2004) (6)
- Evaluation of line and hole measurement by high-resolution low-magnification CD SEM (2005) (6)
- Mask error tensor and causality of mask error enhancement for low-k1 imaging: theory and experiments (2002) (5)
- Computer simulation study of images in contact and near-contact printing (1974) (5)
- Holographic imaging and aberrations due to an incorrectly repositioned hologram in a system with lenses having aberrations (1973) (5)
- Characterization of charging in CD-SEM for 90-nm metrology and beyond (2003) (5)
- Mask error tensor and causality of mask error enhancement for low- k 1 imaging: theory and experiments (2004) (5)
- Influence of massively parallel e-beam direct-write pixel size on electron proximity correction (2011) (5)
- Effect of novel rinsing material and surfactant treatment on the resist pattern performance (2007) (5)
- A novel switchable BARC (SBARC) and process to improve pattern collapse and defect control (2006) (4)
- Future electron-beam lithography and implications on design and CAD tools (2011) (4)
- Can mems take advantage of advances in semiconductor lithography? (2010) (4)
- Image characterization of bubbles in water for 193-nm immersion lithography—far-field approach (2004) (4)
- Successors of ArF Water-Immersion Lithography: EUV Lithography, Multi-e-beam Maskless Lithography, or Nanoimprint? (2008) (4)
- Critical dimension error analysis for 0.13 μm photolithography and beyond (2001) (3)
- Optical manipulation of resist profile in conformable printing (1978) (3)
- Progress and outlook of lithography for semiconductor IC (2009) (3)
- Trench pattern lithography for 0.13- and 0.10-μm logic devices at 248-nm and 193-nm wavelengths (2001) (3)
- Quarter- and sub-quarter-micron optical lithography (1991) (3)
- Multiple electron beam maskless lithography for high-volume manufacturing (2009) (3)
- Future of multiple-e-beam direct-write systems (2012) (3)
- OCD metrology by floating n/k (2007) (3)
- Quantification of CD-SEM wafer global charging effect on CD and CD uniformity of 193-nm lithography (2004) (3)
- Signamization of resist images (1997) (3)
- Resist hardening using a conformable mold (1986) (3)
- Succeeding Optical Lithography with Multiple E-Beam Direct Write (2007) (3)
- REBL DPG lenslet structure: design for charging prevention (2014) (3)
- Global CD uniformity improvement using dose modulation pattern correction of pattern density-dependent and position-dependent errors (2004) (2)
- Mask polarization effects in hyper NA systems (2005) (2)
- Defect reduction with special routing for immersion lithography (2007) (2)
- Sub-nanometer pitch calibration and data quality evaluation methodology (2008) (2)
- Impact of proximity model inaccuracy on patterning in electron beam lithography (2013) (2)
- Single-level electric testsites for phase-shifting masks (1992) (2)
- Submicrometer contact hole delineation with a two‐layer deep‐UV portable conformable masking system (1983) (2)
- NiFe films deposited by sputter gun and applications to bubble devices (1976) (2)
- Cost of Scaling (2003) (2)
- A double-exposure technique to macroscopically control submicrometer linewidths in positive resist images (1978) (2)
- Optical Lithography: Here is Why, Second Edition (2021) (2)
- Can MEMS Take Advantage of Advances in Semiconductor Lithography (2010) (2)
- New lasers, VUV sources (1982) (2)
- Full-depth optical proximity correction (FD-OPC) based on E-D forest (1999) (2)
- Development of cleaning process for immersion lithography (2006) (2)
- Immersion defect reduction, part II: the formation mechanism and reduction of patterned defects (2007) (2)
- Imaging performance of production-worthy multiple-E-beam maskless lithography (2009) (2)
- A molded deep‐UV portable conformable masking system (1986) (1)
- Litho/mask strategies for 32-nm half-pitch and beyond: using established and adventurous tools/technologies to improve cost and imaging performance (2009) (1)
- On-Wafer FinFET-Based EUV/eBeam Detector Arrays for Advanced Lithography Processes (2020) (1)
- Use Of Carbonized Photoresist For Optical Mask Repair (1988) (1)
- Alternating phase shifting mask implementation to 0.1-μm logic gates (2002) (1)
- Immersion defect reduction, part I: analysis of water leaks in an immersion scanner (2007) (1)
- Model-based OPC for 0.13-μm contacts using 248-nm Att PSM (2002) (1)
- Mask-making study for the 65-nm node (2003) (1)
- Making double patterning cost single (2009) (1)
- Is There a Future in Microlithography (2003) (1)
- Embedded Micro-detectors for EUV Exposure Control in FinFET CMOS Technology (2022) (1)
- Analytic theory of symmetric two-beam interference in high-NA optical lithography (2005) (1)
- The 157-nm Good/Bad News from Intel (2003) (1)
- NGL Overview (2009) (1)
- Innovating from History (2019) (1)
- Applications Of The Mold Controlled Profile (MCP) Technique For Resist Processing (1987) (1)
- Front Matter: Volume 7140 (2008) (1)
- Pattern-dependence optical phase effect on alternating phase shift mask (2003) (1)
- Resolution enhancement techniques and mask manufacturability for subwavelength lithography (2000) (1)
- Front Matter: Volume 7520 (2009) (1)
- Fine-Line High-Speed Excimer Laser Lithography (1982) (1)
- Appendix A: Methods to Evaluate the Region of Validity Based on Lithography Applications (2021) (0)
- Systematic optimization of the thin-film stack by minimizing CD sensitivity (2006) (0)
- The Microlithography Symposium and JM3 (2003) (0)
- Impact factor of JM3 (2010) (0)
- Processes and system that prevents the formation of immersion lithography in default (2006) (0)
- Turning Proceedings Articles into Archival, Peer-Reviewed Papers (2006) (0)
- Deep UV contact lithography with excimer lasers (1982) (0)
- Multiple-electron-beam direct-write comes of age (2012) (0)
- Hot-Lot Equivalent of Technology Development—Immersion Lithography (2004) (0)
- Exposure Systems (2021) (0)
- Editorial: JM3 Begins ‘‘e-First’’ Publication (2005) (0)
- Lithography Asia 2008 (2008) (0)
- EUV Lithography (2021) (0)
- On The Growth of This Journal (2002) (0)
- Device and method of controlling the development of a resistant material (1978) (0)
- Reflection on the Last 17 Years (2004) (0)
- Editorial: New Insight for Maskless Lithography (2005) (0)
- Study of line edge roughness using continuous wavelet transform for 65-nm node (2004) (0)
- Outlook and Successors to Optical Lithography (2010) (0)
- Alt-PSM of Contact with Phase Assist Feature for 65-nm Node (2002) (0)
- Proximity Printing (2021) (0)
- E-Beam Direct-Write Lithography/Nanoimprint Lithography and Aviation (2007) (0)
- Special Words on Special Sections (2002) (0)
- Decades of rivalry and complementary of photon and electron beams (2009) (0)
- Nanometer-level semiconductor imaging for micrometer-level MEMS (2012) (0)
- Phase-defocus windows for alternating phase-shifting mask (2004) (0)
- A lithographic system and method with high resolution. (2006) (0)
- Phase shift lithographic mask and its fabrication (1995) (0)
- Aberrations in Lens Holographic Systems. (1970) (0)
- Microlithography and Aviation (2006) (0)
- Types of Articles in JM3 (2005) (0)
- photolithographic printing method of the contact type for obtaining profiles a resolution and highest apparatus using such a process (1977) (0)
- Lineups with a sealing ring for immersion lithography systems. (2006) (0)
- Hot-Lot Equivalent of Publishing—The Immersion Special Section (2004) (0)
- Irradiation Method and apparatus for immersion lithography. (2006) (0)
- Components in Optical Lithography (2010) (0)
- Chris Mack Takes Over as JM3 Editor-in-Chief in 2012 (2011) (0)
- Image Formation (2021) (0)
- How does a reviewer make a difference (2009) (0)
- Method and system for preventing defect formation in the immersion lithography (2006) (0)
- Detectors Array for In Situ Electron Beam Imaging by 16-nm FinFET CMOS Technology (2021) (0)
- Editorial: Reflection on JM3 over the Last Three Years (2005) (0)
- Megasonic immersion lithographic exposure apparatus and methods (2005) (0)
- JM3 Impact Factor (2006) (0)
- A study of conductive material for e-beam lithography (2011) (0)
- Optical/laser microlithography II, 1-3 March 1989, San Jose, California /Burn J. Lin, chair/editor ; sponsored by SPIE--the International Society for Optical Engineering (1989) (0)
- Editorial: New Impact Factor of JM3 (2011) (0)
- Immersion Lithography (2021) (0)
- On overcoming the “worse than worst” earthquake (2011) (0)
- The Metrics of Lithography: Exposure-Defocus (E-D) Tools (2021) (0)
- The Metrics of Lithography (2010) (0)
- Optical/laser microlithography : 2-4 March 1988, Santa Clara, California (1988) (0)
- What Can a JM3er Do for the Green Earth (2008) (0)
- Lithography performance indicator (LPI) and a new lumped parameter to derive resist images from aerial images (1999) (0)
- Lithography Asia 2009 (2009) (0)
- General scaling law of optical lithography optical theory (2004) (0)
- Good News for JM3 (2004) (0)
- Multimedia in JM3 is a Win-Win for Authors and Subscribers (2007) (0)
- Introducing Optical Lithography (2010) (0)
- SELF-DEVELOPING RESISTS FOR DIRECT-VIEWING ALIGNMENT. (1984) (0)
- Mourning the loss of Prof. Franco Cerrina (2010) (0)
- Hardware Components in Optical Lithography (2021) (0)
- Impacts of electronic publication (2009) (0)
- Implementing JM3 Acronyms (2008) (0)
- Device and method for megasonic immersion lithography exposure. (2005) (0)
- Contour-based kernel modeling and verification for E-Beam lithography (2015) (0)
- On the Birth of This Journal (2002) (0)
- How long is ten years (2011) (0)
- New Prospect of Successors to ArF Water-Immersion Lithography (2010) (0)
- Dispositif et procede pour la lithographie par immersion (2005) (0)
- What Can Semiconductor Fabs Do for the Green Earth (2008) (0)
This paper list is powered by the following services:
Other Resources About Burn-Jeng Lin
What Schools Are Affiliated With Burn-Jeng Lin?
Burn-Jeng Lin is affiliated with the following schools: