Christopher Ober
#76,719
Most Influential Person Now
Researcher
Christopher Ober's AcademicInfluence.com Rankings
Christopher Oberchemistry Degrees
Chemistry
#2107
World Rank
#2961
Historical Rank
Polymer Chemistry
#51
World Rank
#54
Historical Rank
Nanotechnology
#74
World Rank
#74
Historical Rank
Chemical Engineering
#205
World Rank
#219
Historical Rank

Download Badge
Chemistry
Christopher Ober's Degrees
- PhD Chemistry Stanford University
Why Is Christopher Ober Influential?
(Suggest an Edit or Addition)According to Wikipedia, Christopher Kemper Ober is an American/Canadian materials scientist and engineer. , he is the Francis Norwood Bard Professor of Materials Engineering at Cornell University, Ithaca, NY, Cornell University and Director of the Cornell NanoScale Science and Technology Facility. Among other posts at Cornell, he has served as Interim Dean of Engineering and Director of the Department of Materials Science & Engineering in the Cornell University College of Engineering . Prior to joining Cornell University, he was a researcher at the Xerox Research Centre of Canada.
Christopher Ober's Published Works
Number of citations in a given year to any of this author's works
Total number of citations to an author for the works they published in a given year. This highlights publication of the most important work(s) by the author
Published Works
- Emerging applications of stimuli-responsive polymer materials. (2010) (4616)
- Nanocomposite Materials for Optical Applications (1997) (852)
- Advances in polymers for anti-biofouling surfaces (2008) (724)
- An efficient two-photon-generated photoacid applied to positive-tone 3D microfabrication. (2002) (599)
- Principles of Polymer Systems (1982) (590)
- Attogram detection using nanoelectromechanical oscillators (2004) (589)
- Self-assembled monolayers and polymer brushes in biotechnology: current applications and future perspectives. (2005) (583)
- Competing Interactions and Levels of Ordering in Self-Organizing Polymeric Materials (1997) (501)
- Anti-biofouling properties of comblike block copolymers with amphiphilic side chains. (2006) (304)
- 50th Anniversary Perspective: Polymer Brushes: Novel Surfaces for Future Materials (2017) (296)
- Self-Assembled Smectic Phases in Rod-Coil Block Copolymers (1996) (291)
- Particle size control in dispersion polymerization of polystyrene (1985) (288)
- Liquid Crystalline, Semifluorinated Side Group Block Copolymers with Stable Low Energy Surfaces: Synthesis, Liquid Crystalline Structure, and Critical Surface Tension (1997) (244)
- Comparison of the fouling release properties of hydrophobic fluorinated and hydrophilic PEGylated block copolymer surfaces: attachment strength of the diatom Navicula and the green alga Ulva. (2006) (234)
- Recent progress in high resolution lithography (2006) (218)
- Block copolymer patterns and templates (2006) (182)
- Polyelectrolyte–Surfactant Complexes in the Solid State: Facile building blocks for self‐organizing materials (1997) (172)
- Study of the interlayer expansion mechanism and thermal–mechanical properties of surface-initiated epoxy nanocomposites (2002) (171)
- Orthogonal Patterning of PEDOT:PSS for Organic Electronics using Hydrofluoroether Solvents (2009) (171)
- Surface Segregation Studies of Fluorine-Containing Diblock Copolymers (1996) (167)
- Zigzag Morphology of a Poly(styrene-b-hexyl isocyanate) Rod-Coil Block Copolymer (1995) (164)
- An overview of supercritical CO 2 applications in microelectronics processing (2003) (163)
- Reworkable Epoxies: Thermosets with Thermally Cleavable Groups for Controlled Network Breakdown (1998) (158)
- Liquid crystal polymers with flexible spacers in the main chain (1984) (157)
- Monodispersed, micron‐sized polystyrene particles by dispersion polymerization (1985) (156)
- Control of biofouling on reverse osmosis polyamide membranes modified with biocidal nanoparticles and antifouling polymer brushes. (2014) (152)
- Reversible Morphology Control in Block Copolymer Films via Solvent Vapor Processing: An In Situ GISAXS study. (2010) (151)
- Dissociation behavior of weak polyelectrolyte brushes on a planar surface. (2009) (150)
- Molecular Design, Synthesis, and Characterization of Liquid Crystal−Coil Diblock Copolymers with Azobenzene Side Groups (1997) (148)
- Formation of large monodisperse copolymer particles by dispersion polymerization (1987) (148)
- Hydrofluoroethers as Orthogonal Solvents for the Chemical Processing of Organic Electronic Materials (2008) (147)
- Deformation of a polydomain, liquid crystalline epoxy-based thermoset (1998) (143)
- ABC triblock surface active block copolymer with grafted ethoxylated fluoroalkyl amphiphilic side chains for marine antifouling/fouling-release applications. (2009) (134)
- Control of self-assembly of lithographically patternable block copolymer films. (2008) (129)
- Thermotropic Liquid Crystalline Polyesters with Rigid or Flexible Spacer Groups (1980) (124)
- Extreme ultraviolet resist materials for sub-7 nm patterning. (2017) (124)
- Characterization of thermally reworkable thermosets: materials for environmentally friendly processing and reuse (2002) (120)
- Research in Macromolecular Science: Challenges and Opportunities for the Next Decade (2009) (119)
- Patterned biofunctional poly(acrylic acid) brushes on silicon surfaces. (2007) (119)
- Settlement of Ulva zoospores on patterned fluorinated and PEGylated monolayer surfaces. (2008) (116)
- Liquid crystalline and rigid-rod networks (1993) (115)
- A general approach to controlling the surface composition of poly(ethylene oxide)-based block copolymers for antifouling coatings. (2011) (112)
- Oligo(ethylene glycol) containing polymer brushes as bioselective surfaces. (2005) (107)
- Release of nerve growth factor from HEMA hydrogel-coated substrates and its effect on the differentiation of neural cells. (2009) (106)
- Alignment of Self-Assembled Hierarchical Microstructure in Liquid Crystalline Diblock Copolymers Using High Magnetic Fields (2004) (106)
- Coatings Based on Side-chain Ether-linked Poly(ethylene glycol) and Fluorocarbon Polymers for the Control of Marine Biofouling (2003) (105)
- Surfaces of fluorinated pyridinium block copolymers with enhanced antibacterial activity. (2006) (105)
- The effect of temperature and initiator levels on the dispersion polymerization of polystyrene (1987) (103)
- Orthogonal processing: A new strategy for organic electronics (2011) (102)
- Controlled degradation of epoxy networks: analysis of crosslink density and glass transition temperature changes in thermally reworkable thermosets (2004) (102)
- Fluorinated amphiphilic polymers and their blends for fouling-release applications: the benefits of a triblock copolymer surface. (2011) (101)
- Direct three-dimensional microfabrication of hydrogels via two-photon lithography in aqueous solution. (2009) (100)
- Semifluorinated Aromatic Side-Group Polystyrene-Based Block Copolymers: Bulk Structure and Surface Orientation Studies (2002) (99)
- Molecular Glass Resists for High-Resolution Patterning (2006) (97)
- Amphiphilic surface active triblock copolymers with mixed hydrophobic and hydrophilic side chains for tuned marine fouling-release properties. (2010) (97)
- Rigid rod and liquid crystalline thermosets (1997) (93)
- Liquid crystalline epoxy thermosets based on dihydroxymethylstilbene: Synthesis and characterization (1992) (93)
- Triblock Copolymers with Grafted Fluorine-Free, Amphiphilic, Non-Ionic Side Chains for Antifouling and Fouling-Release Applications (2011) (92)
- Fluorine-free mixed amphiphilic polymers based on PDMS and PEG side chains for fouling release applications (2011) (91)
- Temperature‐Dependent Photonic Bandgap in a Self‐Assembled Hydrogen‐Bonded Liquid‐Crystalline Diblock Copolymer (2002) (91)
- Patternable block copolymers (2005) (88)
- Design and application of high-sensitivity two-photon initiators for three-dimensional microfabrication (2003) (88)
- The Orientation of Semifluorinated Alkanes Attached to Polymers at the Surface of Polymer Films (2000) (86)
- Spatially Controlled Fabrication of Nanoporous Block Copolymers (2004) (86)
- Two-Photon Three-Dimensional Microfabrication of Poly(Dimethylsiloxane) Elastomers (2004) (86)
- Additive‐Driven Phase‐Selective Chemistry in Block Copolymer Thin Films: The Convergence of Top–Down and Bottom–Up Approaches (2004) (85)
- The mechanical and magnetic alignment of liquid crystalline epoxy thermosets (1992) (85)
- Polymer-Based Marine Antifouling and Fouling Release Surfaces: Strategies for Synthesis and Modification. (2019) (83)
- Surface Stability in Liquid-Crystalline Block Copolymers with Semifluorinated Monodendron Side Groups (2000) (81)
- Stress relaxation of a main-chain, smectic, polydomain liquid crystalline elastomer (1998) (80)
- Low-Surface-Energy Fluoromethacrylate Block Copolymers with Patternable Elements (2000) (79)
- Deformation of a Polydomain, Smectic Liquid Crystalline Elastomer (1998) (79)
- Self-Organizing Materials with Low Surface Energy: The Synthesis and Solid-State Properties of Semifluorinated Side-Chain Ionenes (1997) (78)
- Control of surface properties using fluorinated polymer brushes produced by surface-initiated controlled radical polymerization. (2004) (78)
- Amplification by optical composites. (1997) (75)
- Widely Tunable Morphologies in Block Copolymer Thin Films Through Solvent Vapor Annealing Using Mixtures of Selective Solvents (2015) (75)
- Role of solvent dielectric properties on charge transfer from PbS nanocrystals to molecules. (2010) (75)
- Synthesis and Characterization of Thermally Degradable Polymer Networks (1998) (75)
- Protein adsorption resistance of anti-biofouling block copolymers containing amphiphilic side chains (2010) (73)
- Detection of Transmitter Release from Single Living Cells Using Conducting Polymer Microelectrodes (2011) (73)
- Methods for the topographical patterning and patterned surface modification of hydrogels based on hydroxyethyl methacrylate. (2003) (73)
- High-performance electron-transporting polymers derived from a heteroaryl bis(trifluoroborate). (2011) (71)
- Molecular glass photoresists for advanced lithography (2006) (71)
- Sub-50 nm feature sizes using positive tone molecular glass resists for EUV lithography (2006) (70)
- Electrical Control of Protein Conformation (2012) (69)
- Liquid crystalline polymers, 8. Structurally ordered thermotropic polyesters of glycol ethers (1982) (68)
- Direct patterning of intrinsically electron beam sensitive polymer brushes. (2010) (67)
- Molecular Glass Resists as High‐Resolution Patterning Materials (2008) (67)
- Block Copolymers Containing Liquid Crystalline Segments (1997) (66)
- Amphiphilic triblock copolymers with PEGylated hydrocarbon structures as environmentally friendly marine antifouling and fouling-release coatings (2014) (66)
- Rigid-rod thermosets based on 1,3,5-triazine-linked aromatic ester segments (1992) (64)
- Synthesis and curing of novel LC twin epoxy monomers for liquid crystal thermosets (1996) (64)
- Engineering low surface energy polymers through molecular design: synthetic routes to fluorinated polystyrene-based block copolymers (2002) (64)
- Supercritical CO2 Processing for Submicron Imaging of Fluoropolymers (2000) (63)
- Studying the Mechanism of Hybrid Nanoparticle Photoresists: Effect of Particle Size on Photopatterning (2015) (62)
- Acid-sensitive semiperfluoroalkyl resorcinarene: an imaging material for organic electronics. (2008) (61)
- Liquid Crystalline Rod−Coil Block Copolymers by Stable Free Radical Polymerization: Synthesis, Morphology, and Rheology (2003) (60)
- Temperature Dependence of Molecular Orientation on the Surfaces of Semifluorinated Polymer Thin Films (2000) (58)
- Chemically Amplified Positive Resists for Two‐Photon Three‐Dimensional Microfabrication (2003) (58)
- Selective area control of self-assembled pattern architecture using a lithographically patternable block copolymer. (2009) (58)
- Polymer brushes for electrochemical biosensors (2011) (57)
- A new inorganic EUV resist with high-etch resistance (2012) (56)
- Development of an inorganic nanoparticle photoresist for EUV, e-beam, and 193nm lithography (2011) (55)
- Development of an inorganic photoresist for DUV, EUV, and electron beam imaging (2010) (54)
- High refractive index and high transparency HfO2 nanocomposites for next generation lithography (2010) (54)
- Fluorinated mesogen‐jacketed liquid‐crystalline polymers as surface‐modifying agents: Design, synthesis and characterization (2002) (53)
- Role of backbone chemistry and monomer sequence in amphiphilic oligopeptide- and oligopeptoid-functionalized PDMS- and PEO-based block copolymers for marine antifouling and fouling release coatings (2017) (52)
- A glucose sensor via stable immobilization of the GOx enzyme on an organic transistor using a polymer brush (2015) (52)
- Orientational Switching of Mesogens and Microdomains in Hydrogen‐Bonded Side‐Chain Liquid‐Crystalline Block Copolymers Using AC Electric Fields (2004) (52)
- Zinc induced polyelectrolyte coacervate bioadhesive and its transition to a self-healing hydrogel (2015) (51)
- Orientation-On-Demand Thin Films: Curing of Liquid Crystalline Networks in ac Electric Fields (1996) (50)
- Surface Engineering of Styrene/PEGylated-Fluoroalkyl Styrene Block Copolymer Thin Films (2009) (49)
- Responsive and patterned polymer brushes (2013) (49)
- An Efficient Route to Mesoporous Silica Films with Perpendicular Nanochannels (2008) (49)
- Synthesis and characterization of pyrene-labeled hydroxypropyl cellulose and its fluorescence in solution (1987) (48)
- NEXAFS Depth Profiling of Surface Segregation in Block Copolymer Thin Films (2010) (48)
- Study of the Structure−Properties Relationship of Phenolic Molecular Glass Resists for Next Generation Photolithography (2008) (48)
- Surface organization, light-driven surface changes, and stability of semifluorinated azobenzene polymers. (2007) (47)
- Fouling-resistant polymer brush coatings (2011) (46)
- Control and Suppression of Surface Relief Gratings in Liquid‐Crystalline Perfluoroalkyl–Azobenzene Polymers (2006) (46)
- Antimicrobial behavior of semifluorinated-quaternized triblock copolymers against airborne and marine microorganisms. (2010) (46)
- Functionalized surface arrays for spatial targeting of immune cell signaling. (2006) (45)
- Dry photolithographic patterning process for organic electronic devices using supercritical carbon dioxide as a solvent (2008) (45)
- Ambiguous anti‐fouling surfaces: Facile synthesis by light‐mediated radical polymerization (2016) (44)
- Three-Dimensional Microfabrication by Two-Photon Lithography (2005) (43)
- Patterning of polymer brushes. A direct approach to complex, sub-surface structures. (2010) (43)
- Fluorinated polymers: liquid crystalline properties and applications in lithography. (2004) (43)
- Linear viscoelasticity of side chain liquid crystal polymer (1993) (43)
- Understanding and controlling the morphology of styrene-isoprene side-group liquid crystalline diblock copolymers (2000) (42)
- Characterization of the Photoacid Diffusion Length and Reaction Kinetics in EUV Photoresists with IR Spectroscopy (2010) (42)
- Biomimetic polymer brushes containing tethered acetylcholine analogs for protein and hippocampal neuronal cell patterning. (2013) (42)
- Nanoparticle photoresists from HfO2 and ZrO2 for EUV patterning (2012) (42)
- Cellular responses to patterned poly(acrylic acid) brushes. (2011) (42)
- Perpendicular Orientation Control without Interfacial Treatment of RAFT-Synthesized High-χ Block Copolymer Thin Films with Sub-10 nm Features Prepared via Thermal Annealing. (2017) (40)
- High‐Resolution Patterning of Molecular Glasses Using Supercritical Carbon Dioxide (2006) (40)
- Flexible Hydrophobic Antifouling Coating with Oriented Nanotopography and Nonleaking Capsaicin. (2018) (39)
- Effects of surface-active block copolymers with oxyethylene and fluoroalkyl side chains on the antifouling performance of silicone-based films (2016) (39)
- Transverse cylindrical microdomain orientation in an LC diblock copolymer under oscillatory shear (1999) (39)
- Surface Induced Tilt Propagation in Thin Films of Semifluorinated Liquid Crystalline Side Chain Block Copolymers (2007) (38)
- Three-dimensionally-patterned submicrometer-scale hydrogel/air networks that offer a new platform for biomedical applications. (2008) (38)
- Orthogonal Processing and Patterning Enabled by Highly Fluorinated Light‐Emitting Polymers (2011) (37)
- Molecular Orientation of Single and Two-Armed Monodendron Semifluorinated Chains on ``Soft'' and ``Hard'' Surfaces Studied Using NEXAFS (2000) (37)
- Mesogen-jacketed liquid crystalline polymers via stable free radical polymerization (1999) (37)
- Effect of changing molecular end groups on surface properties : Synthesis and characterization of Poly(styrene-b-semifluorinated isoprene) block copolymers with -CF2H end groups (2000) (37)
- Rod–coil block copolymers: An iterative synthetic approach via living free‐radical procedures (2003) (36)
- Reconstruction of surfaces from mixed hydrocarbon and PEG components in water: responsive surfaces aid fouling release. (2012) (36)
- Liquid-crystalline polymers. 12. Polyesters with either alternating or random orientation of mesogenic units (1983) (35)
- Diazonaphthoquinone Molecular Glass Photoresists: Patterning without Chemical Amplification (2007) (35)
- Metal Oxide Nanoparticle Photoresists for EUV Patterning (2014) (35)
- Preventing nonspecific adsorption on polymer brush covered gold electrodes using a modified ATRP initiator. (2009) (35)
- Improved antifouling properties of polymer membranes using a 'layer-by-layer' mediated method. (2013) (35)
- Engineered nanomaterials and human health: Part 1. Preparation, functionalization and characterization (IUPAC Technical Report) (2018) (35)
- Lithography Based on Molecular Glasses (2005) (35)
- A novel noria (water-wheel-like cyclic oligomer) derivative as a chemically amplified electron-beam resist material (2008) (35)
- Semiperfluoroalkyl Polyfluorenes for Orthogonal Processing in Fluorous Solvents (2010) (34)
- Orientation of Liquid Crystalline Epoxides under ac Electric Fields (1997) (34)
- Absorbance measurement of polymers at extreme ultraviolet wavelength: Correlation between experimental and theoretical calculations (2006) (34)
- Fibronectin conformation regulates the proangiogenic capability of tumor-associated adipogenic stromal cells. (2013) (34)
- Selectively thermally cleavable fluorinated side chain block copolymers : Surface chemistry and surface properties (2000) (34)
- Nanoparticle Photoresists: Ligand Exchange as a New, Sensitive EUV Patterning Mechanism (2013) (33)
- Block copolymers with low surface energy segments: siloxane- and perfluoroalkane-modified blocks (1995) (33)
- Analysis of Smectic Structure Formation in Liquid Crystalline Thermosets (1997) (33)
- Dual Mode Patterning of Fluorine-Containing Block Copolymers through Combined Top-down and Bottom-up Lithography (2012) (33)
- New poly(dimethylsiloxane)/poly(perfluorooctylethyl acrylate) block copolymers: structure and order across multiple length scales in thin films (2011) (33)
- Microphase-Stabilized Ferroelectric Liquid Crystals (MSFLC): Bistable Switching of Ferroelectric Liquid Crystal−Coil Diblock Copolymers (1998) (33)
- Solubility studies of inorganic-organic hybrid nanoparticle photoresists with different surface functional groups. (2016) (33)
- Heat capacity measurements of two-dimensional self-assembled hexadecanethiol monolayers on polycrystalline gold (2004) (32)
- Metal–Organic Framework-Inspired Metal-Containing Clusters for High-Resolution Patterning (2018) (32)
- Viscoelastic properties of a model main‐chain liquid crystalline polyether (1994) (32)
- Dispersion copolymerization in non-aqueous media (1990) (32)
- Biologically Complex Planar Cell Plasma Membranes Supported on Polyelectrolyte Cushions Enhance Transmembrane Protein Mobility and Retain Native Orientation. (2017) (31)
- Influence of a liquid crystalline block on the microdomain structure of block copolymers (1997) (31)
- Patterning of Polymeric Hydrogels for Biomedical Applications (2001) (31)
- The Role of Hydrogen Bonding in Peptoid-Based Marine Antifouling Coatings (2019) (31)
- Generalized Platform for Antibody Detection using the Antibody Catalyzed Water Oxidation Pathway (2014) (30)
- Non-ionic photo-acid generators for applications in two-photon lithography (2009) (30)
- Shape Persistence of Synthetic Polymers (2000) (29)
- Arylonium photoacid generators containing environmentally compatible aryloxyperfluoroalkanesulfonate groups (2007) (29)
- Oxide nanoparticle EUV resists: toward understanding the mechanism of positive and negative tone patterning (2013) (29)
- Development of reworkable underfills, materials, reliability and processing (1998) (29)
- Synthesis, characterization, and redox reactivity of novel quinone-containing polymer (2001) (28)
- Impact of the Synthesis Method on the Solid-State Charge Transport of Radical Polymers. (2018) (28)
- Covalently Linked, Transparent Silica–Poly(imide) Hybrids (1997) (28)
- Enzymatic surface-initiated polymerization: a novel approach for the in situ solid-phase synthesis of biocompatible polymer poly(3-hydroxybutyrate). (2004) (28)
- MOLECULAR DYNAMICS OF A LIQUID CRYSTALLINE POLYMER STUDIED BY TWO-DIMENSIONAL FOURIER TRANSFORM AND CW ESR (1996) (27)
- Microdeformation of a polydomain, smectic liquid crystalline thermoset (2000) (27)
- The role of hydrogels with tethered acetylcholine functionality on the adhesion and viability of hippocampal neurons and glial cells. (2012) (27)
- Photochromism of 4‐cyanophenylazobenzene in liquid crystalline‐coil AB diblock copolymers: the influence of microstructure (2000) (27)
- Environmentally friendly patterning of molecular waterwheel (Noria) in supercritical carbon dioxide (2009) (27)
- New Strategies for High Resolution Photoresists (2002) (27)
- Structural Studies of Extension-Induced Mesophase Formation in Poly(diethylsiloxane) Elastomers: In Situ Synchrotron WAXS and SAXS (2003) (27)
- Synthesis and Surface Energy Measurement of Semi-Fluorinated, Low-Energy Surfaces† (1998) (27)
- Ultrafast Self-Assembly of Sub-10 nm Block Copolymer Nanostructures by Solvent-Free High-Temperature Laser Annealing. (2017) (26)
- Preparation and characterization of amphiphilic triblock terpolymer-based nanofibers as antifouling biomaterials. (2012) (26)
- SOLID STATE CRYSTALLINE AND LIQUID CRYSTALLINE STRUCTURE OF SEMIFLUORINATED 1-BROMOALKANE COMPOUNDS (1999) (26)
- Direct synthesis of quaternized polymer brushes and their application for guiding neuronal growth. (2010) (26)
- Manipulation of cell adhesion and dynamics using RGD functionalized polymers. (2017) (26)
- Kinetic rates of thermal transformations and diffusion in polymer systems measured during sub-millisecond laser-induced heating. (2012) (26)
- Amphiphilic oligopeptides grafted to PDMS-based diblock copolymers for use in antifouling and fouling release coatings† (2015) (26)
- Supramolecular Microphase Separation in a Hydrogen-Bonded Liquid Crystalline Comb Copolymer in the Melt State (2006) (26)
- Increasing sensitivity of oxide nanoparticle photoresists (2014) (26)
- Organic field-effect transistors and solar cells using novel high electron-affinity conjugated copolymers based on alkylbenzotriazole and benzothiadiazole (2012) (26)
- Orthogonal Processing: A Novel Photolithographic Patterning Method for Organic Electronics (2009) (26)
- Novel ceramic particle synthesis for optical applications: Dispersion polymerized preceramic polymers as size templates for fine ceramic powders (1995) (25)
- A Fundamental Study on Dissolution Behavior of High-Resolution Molecular Glass Photoresists (2008) (25)
- Physical Vapor Deposition of Molecular Glass Photoresists: A New Route to Chemically Amplified Patterning (2007) (25)
- A Glucose Sensor Based on an Organic Electrochemical Transistor Structure Using a Vapor Polymerized Poly(3,4-ethylenedioxythiophene) Layer (2010) (25)
- Towards all-dry lithography: Electron-beam patternable poly(glycidyl methacrylate) thin films from hot filament chemical vapor deposition (2004) (25)
- Effect of the Monomer Ratio on the Strengthening of Polymer Phase Boundaries by Random Copolymers (1997) (25)
- Cross-linkable molecular glasses: low dielectric constant materials patternable in hydrofluoroethers. (2009) (25)
- Liquid crystal polymers, 13. A smectic aromatic polyester with triad mesogenic groups and a polymethylene spacer in the main chain (1983) (25)
- Functional Hydrogel Surfaces: Binding Kinesin‐Based Molecular Motor Proteins to Selected Patterned Sites (2005) (24)
- Phenolic molecular glasses as resists for next-generation lithography (2007) (24)
- Positive-Tone Photoresist Process for Supercritical Carbon Dioxide Development (2003) (24)
- Charge Transport in Conjugated Polymers with Pendent Stable Radical Groups (2018) (24)
- A brief guide to polymer nomenclature (IUPAC Technical Report) (2012) (24)
- Molecular glass resists for next generation lithography (2006) (24)
- Photoprocessable polymer opals (2004) (24)
- Smectic networks obtained from twin LC epoxy monomers-mechanical deformation of the smectic networks (1998) (23)
- Poly(methacrylate) Precursors to Forsterite (1992) (23)
- Model Amphiphilic Block Copolymers with Tailored Molecular Weight and Composition in PDMS-Based Films to Limit Soft Biofouling. (2017) (23)
- Laser-induced sub-millisecond heating reveals distinct tertiary ester cleavage reaction pathways in a photolithographic resist polymer. (2014) (22)
- Hydroxyphenylbenzene derivatives as glass forming molecules for high resolution photoresists (2008) (22)
- Highly Reactive 2,5-Disubstituted Styrene-Based Monomer Polymerized via Stable Free Radical Polymerization: Effect of Substitution and Liquid Crystallinity on Polymerization (2001) (22)
- Low Surface Energy Characteristics of Mesophase-Forming ABC and ACB Triblock Copolymers with Fluorinated B Blocks (2005) (22)
- Aqueous one-pot synthesis of epoxy-functional diblock copolymer worms from a single monomer: new anisotropic scaffolds for potential charge storage applications (2019) (22)
- Kinetics of Block Copolymer Phase Segregation during Sub-millisecond Transient Thermal Annealing (2016) (21)
- Properties of PVA/HfO2 Hybrid Electrospun Fibers and Calcined Inorganic HfO2 Fibers (2011) (21)
- EUV photolithography: resist progress and challenges (2018) (21)
- Fabrication of polymer-based electronic circuits using photolithography (2011) (21)
- Synthesis and Properties of Thermotropic Compounds with Two Terminal Mesogenic Units and a Central Spacer (II). Homologous Series of $\alpha,\;\omega$-Bis (4-p-substituted phenoxycarbonyl)phenoxyalkanes (1983) (21)
- Defect-mediated creep of structured materials (2001) (21)
- Imaging polymers with supercritical carbon dioxide (1997) (20)
- Simple fabrication of micropatterned mesoporous silica films using photoacid generators in block copolymers (2008) (20)
- Design strategies for 157-nm single-layer photoresists: lithographic evaluation of a poly(α -trifluoromethyl vinyl alcohol) copolymer (2000) (20)
- Nanoparticle photoresist studies for EUV lithography (2017) (20)
- Microelectronics Technology: Polymers for Advanced Imaging and Packaging (1995) (20)
- Managing polymer surface structure using surface active block copolymers in block copolymer mixtures (2004) (20)
- Diffusion and melt viscosity of a main-chain liquid crystalline polyether (1993) (20)
- Tailoring Transparency of Imageable Fluoropolymers at 157 nm by Incorporation of Hexafluoroisopropyl Alcohol to Photoresist Backbones (2002) (20)
- Synthesis and Lithographic Characterization of Block Copolymer Resists Consisting of Both Poly(styrene) Blocks and Hydrosiloxane-Modified Poly(diene) Blocks (1994) (20)
- Lithographic Properties of Poly(tert-butyl methacrylate)-Based Block and Random Copolymer Resists Designed for 193 nm Wavelength Exposure Tools (1996) (20)
- The solvent problem: Redissolution of macromolecules in solution-processed organic electronics (2013) (20)
- Thermally induced orientational flipping of cylindrical phase diblock copolymers (2014) (19)
- Dissolution phenomena of phenolic molecular glass photoresist films in supercritical CO2 (2007) (19)
- E‐Beam Patterning of Hot‐Filament CVD Fluorocarbon Films Using Supercritical CO2 as a Developer (2001) (19)
- Mini Monomer Encapsulated Emulsion Polymerization of PMMA Using Aqueous ARGET ATRP. (2018) (19)
- Lithographic Applications of Redox Probe Microscopy (2001) (19)
- Acid-diffusion behaviour in organic thin films and its effect on patterning (2009) (19)
- Calix[4]resorcinarene Derivatives as High‐Resolution Resist Materials for Supercritical CO2 Processing (2008) (18)
- Combinatorial Optimization of a Molecular Glass Photoresist System for Electron Beam Lithography (2011) (18)
- Swelling and dissolution rate measurements of polymer thin films in supercritical carbon dioxide (2004) (18)
- Surface structures of an amphiphilic tri-block copolymer in air and in water probed using sum frequency generation vibrational spectroscopy. (2010) (18)
- Coloured particles by dispersion polymerization (1987) (18)
- Oxide Nanoparticle EUV (ONE) Photoresists: Current Understanding of the Unusual Patterning Mechanism (2015) (18)
- Orthogonal patterning of multiple biomolecules using an organic fluorinated resist and imprint lithography. (2013) (18)
- Terminology for aggregation and self-assembly in polymer science (IUPAC Recommendations 2013) (2012) (18)
- Recent progress in nanoparticle photoresists development for EUV lithography (2016) (17)
- Engineered nanomaterials and human health: Part 2. Applications and nanotoxicology (IUPAC Technical Report) (2018) (17)
- Materials for future lithography (Invited Paper) (2005) (17)
- Control of PS-b-PMMA directed self-assembly registration by laser induced millisecond thermal annealing (2014) (17)
- High Refractive Index Polymers for Optical Applications (1997) (17)
- Micrometer-Scale Ordering of Silicon-Containing Block Copolymer Thin Films via High-Temperature Thermal Treatments. (2016) (17)
- Highly transparent resist platforms for 157-nm microlithography: an update (2002) (16)
- Early detection of Candida albicans biofilms at porous electrodes. (2013) (16)
- Photoinduced ordering of block copolymers. (2011) (16)
- Towards environmentally friendly, dry deposited, water developable molecular glass photoresists. (2008) (16)
- A Brief Guide to Polymer Nomenclature (2013) (16)
- Polymer Brushes on Hexagonal Boron Nitride. (2019) (16)
- Polyesters with semifluorinated side chains: A proposal for the solid‐state structure (2000) (16)
- High voltage polymer solar cell patterned with photolithography (2009) (16)
- Transient materials from thermally-sensitive polycarbonates and polycarbonate nanocomposites (2016) (16)
- Self‐Assembly Behavior of an Oligothiophene‐Based Conjugated Liquid Crystal and Its Implication for Ionic Conductivity Characteristics (2018) (16)
- The development of fluorous photolithographic materials and their applications to achieve flexible organic electronic devices (2016) (16)
- Structure Control of a π-Conjugated Oligothiophene-Based Liquid Crystal for Enhanced Mixed Ion/Electron Transport Characteristics. (2019) (15)
- Elucidating the patterning mechanism of zirconium-based hybrid photoresists (2017) (15)
- Diffusion and Distribution Studies of Photoacid Generators:Ion Beam Analysis in Lithography (1999) (15)
- Sub-millisecond post exposure bake of chemically amplified resists by CO2 laser heat treatment (2010) (15)
- Characterization of Polymer Brush Membranes via HF Etch Liftoff Technique. (2013) (15)
- Real-time analysis of enzymatic surface-initiated polymerization using surface plasmon resonance (SPR). (2006) (15)
- Amphiphilic block copolymer surface composition: Effects of spin coating versus spray coating (2012) (15)
- Dinitrophenyl ligand substrates and their application to immunosensors. (2006) (15)
- Positive‐ and Negative‐Tone CVD Polyacrylic Electron‐Beam Resists Developable by Supercritical CO2 (2006) (15)
- Architectural Effects on Acid Reaction-Diffusion Kinetics in Molecular Glass Photoresists (2010) (15)
- Synthesis, molecular, and morphological characterization of initial and modified diblock copolymers with organic acid chloride derivatives (2011) (14)
- Photo-cleavable anti-fouling polymer brushes: A simple and versatile platform for multicomponent protein patterning (2013) (14)
- Adamantane based molecular glass resist for 193 nm lithography (2006) (14)
- Novel silicon-containing polymers as photoresist materials for EUV lithography (2003) (14)
- Tailored star-shaped statistical teroligomers via ATRP for lithographic applications (2012) (14)
- Tailored Star Block Copolymer Architecture for High Performance Chemically Amplified Resists (2012) (14)
- Design, Synthesis, and Use of Y-Shaped ATRP/NMP Surface Tethered Initiator. (2015) (13)
- Reduced Lateral Confinement and Its Effect on Stability in Patterned Strong Polyelectrolyte Brushes. (2017) (13)
- Three-Dimensional Printing of Hierarchical Porous Architectures (2019) (13)
- EUV photolithography: resist progress in metal–organic complex photoresists (2018) (13)
- Facile Preparation of Epoxide-Functionalized Surfaces via Photocurable Copolymer Coatings and Subsequent Immobilization of Iminodiacetic Acids. (2018) (13)
- Rotational Diffusion and Order Parameters of a Liquid Crystalline Polymer Studied by ESR: Molecular Weight Dependence (1996) (13)
- Partitioning of monomer during dispersion polymerisation (1986) (13)
- Neutron reflectivity characterization of the photoacid reaction-diffusion latent and developed images of molecular resists for extreme ultraviolet lithography. (2012) (12)
- Photo-switchable polyelectrolyte brush for dual protein patterning (2011) (12)
- Probing the electric field alignment of a thermotropic liquid crystalline polymer by synchrotron radiation (1994) (12)
- Molecular templates for bio-specific recognition by low-energy electron beam lithography (2005) (12)
- MEMS analogous micro-patterning of thermotropic nematic liquid crystalline elastomer films using a fluorinated photoresist and a hard mask process (2017) (12)
- High refractive index nanoparticle fluids for 193-nm immersion lithography (2009) (12)
- Insight in the role of bovine serum albumin for promoting the in situ surface growth of polyhydroxybutyrate (PHB) on patterned surfaces via enzymatic surface-initiated polymerization. (2007) (12)
- Influence of structural parameters on the ring‐opening polymerization of new alkyl malolactonate monomers and on the biocompatibility of polymers therefrom (2002) (12)
- Rejuvenation of 248nm Resist Backbones for 157nm Lithography (2001) (12)
- Sulfonium Salts of Alicyclic Group Functionalized Semifluorinated Alkyl Ether Sulfonates As Photoacid Generators (2009) (12)
- Persistence Pays Off (2002) (12)
- LWR reduction and flow of chemically amplified resist patterns during sub-millisecond heating (2011) (12)
- Directing self-assembly in macromolecular systems: Hydrogen bonding in ordered polymers (2004) (12)
- Periodic Surface Topology of Three-Arm Semifluorinated Alkane Monodendron Diblock Copolymers (2001) (12)
- Nanopatterning of Stable Radical Containing Block Copolymers for Highly Ordered Functional Nanomeshes (2016) (11)
- Acid-Labile, Chain-Scission Polymer Systems Used as Positive-Tone Photoresists Developable in Supercritical CO2 (2008) (11)
- Fluoropolymer resists for 157 nm lithography (2002) (11)
- Environmentally friendly patterning of thin films in linear methyl siloxanes (2012) (11)
- Silicon backbone polymers as EUV resists (2004) (11)
- Solid state NMR investigation of photoresist molecular glasses including blend behavior with a photoacid generator (2009) (11)
- Transient Fiber Mats of Electrospun Poly(Propylene Carbonate) Composites with Remarkable Mechanical Strength. (2017) (11)
- Thermotropic liquid crystalline polyesters containing naphthalenic mesogenic groups (1986) (11)
- A solvent‐free method for the synthesis of block copolymers with fluorinated pendant groups by a hydrosilylation reaction (2000) (11)
- Molecular glass resists for next-generation lithography (2006) (11)
- Vertical Oriented Lamellar Formation of Fluorine- and Silicon-containing Block Copolymers without Neutral Layers (2015) (11)
- Source-based nomenclature for single-strand homopolymers and copolymers (IUPAC Recommendations 2016) (2016) (11)
- Fluorinated Quaternary Ammonium Salts as Dissolution Aids for Polar Polymers in Environmentally Benign Supercritical Carbon Dioxide (2009) (11)
- Development of an operational high refractive index resist for 193nm immersion lithography (2008) (11)
- A comparison of the reaction-diffusion kinetics between model-EUV polymer and molecular-glass photoresists (2008) (11)
- Non-aqueous negative-tone development of inorganic metal oxide nanoparticle photoresists for next generation lithography (2013) (11)
- End-functionalization of poly(3-hydroxybutyrate)via genetic engineering for solid surface modification. (2005) (10)
- Ferroelectric block copolymers (1997) (10)
- Molecular glass resists for EUV lithography (2006) (10)
- Fluorinated 2‐Vinylcyclopropane Copolymers as Low Surface Energy Materials (2001) (10)
- Flow-induced structure in a thermotropic liquid crystalline polymer as studied by SANS (1998) (10)
- Crystallization of Precursors to Forsterite and Chromium‐Doped Forsterite (1994) (10)
- Liquid crystalline side chain‐coil diblock copolymers by living free radical polymerization (1999) (10)
- Lithographic Patterning with Block Copolymers (2003) (10)
- Laser Spike Annealing of DSA Photoresists (2015) (10)
- In pursuit of Moore’s Law: polymer chemistry in action (2018) (10)
- New developments in ligand-stabilized metal oxide nanoparticle photoresists for EUV lithography (2015) (10)
- Control of polystyrene-block-poly(methyl methacrylate) directed self-assembly by laser-induced millisecond thermal annealing (2015) (10)
- Preparation and Two-Photon Lithography of a Sulfur Containing Resin with High Refractive Index (2004) (9)
- Fluorine-and siloxane-containing polymers for supercritical carbon dioxide lithography (2009) (9)
- Rejuvenation of 248 nm resist backbones for 157 nm lithography. (2001) (9)
- Synthesis and characterization of high-throughput nanofabricated poly(4-hydroxy styrene) membranes for in vitro models of barrier tissue. (2012) (9)
- Semi-perfluoroalkyl polyfluorene with varying fluorine content: synthesis and photophysical properties (2013) (9)
- The effect of electric and magnetic fields on the melt organization of a nematic cyclic siloxane liquid crystal (1995) (9)
- High-pressure cell for simultaneous small-angle x-ray scattering and laser light scattering measurements (2001) (9)
- Novel resists with nontraditional compositions for EUV lithography (2004) (9)
- Translational Diffusion in Polydisperse Polymer Samples Studied by Dynamic Imaging of Diffusion ESR (1996) (9)
- An investigation of the smectic‐isotropic transition in a side‐chain liquid crystal polymer by synchrotron radiation x‐ray diffraction (1993) (9)
- Liquid Crystalline Thermosets As Materials For Microelectronics (1991) (9)
- Development of a directly patterned low-surface-energy polymer brush in supercritical carbon dioxide. (2009) (9)
- Submillisecond post-exposure bake of chemically amplified resists by CO2 laser spike annealing (2009) (9)
- Development of Poly(Phenylene)-Based Materials for Thin Film Applications: Optical Waveguides and Low Dielectric Materials (1993) (9)
- Biodegradability, Cytotoxicity, and Physicochemical Treatability of Two Novel Perfluorooctane Sulfonate-Free Photoacid Generators (2013) (9)
- Deintercalation of a chemically switchable polymer from a layered silicate nanocomposite (2003) (9)
- Quantifying internal charge transfer and mixed ion-electron transfer in conjugated radical polymers† (2020) (8)
- Characterization of the latent image to developed image in model EUV photoresists (2008) (8)
- Tightly bound ligands for hafnium nanoparticle EUV resists (2012) (8)
- Polymer Brushes as Functional, Patterned Surfaces for Nanobiotechnology. (2012) (8)
- Acid-catalyzed photoaromatization of poly(cyclohexadiene-1,2-diol) derivatives into polyphenylene (1992) (8)
- Morphology of Nanostructured Polymer Brushes Dependent on Production and Treatment (2017) (8)
- Synthesis and evaluation of novel organoelement resists for EUV lithography (2003) (8)
- Lithographic results of electron beam photoresists prepared by living free radical polymerization (1999) (8)
- Multiple length scale self‐organization in liquid crystalline block copolymers (1997) (8)
- Fundamental Studies of Fluoropolymer Photoresists for 157 nm Lithography. (2000) (8)
- C60-containing polymers for electron beam lithography (2014) (8)
- The use of nanocomposite materials for high refractive index immersion lithography (2008) (8)
- New PFOS Free Photoresist Systems for EUV Lithography (2006) (8)
- Silicon-Containing Block Copolymer Resist Materials (1995) (7)
- Development and evaluation of 193nm immersion generation-three fluid candidates (2008) (7)
- Addressing challenges in lithography using sub-millisecond post exposure bake of chemically amplified resists (2011) (7)
- Time Dependent Behavior of Chemically Amplified Resist Characterized under Sub-millisecond Post Exposure Bake (2011) (7)
- Comparison of star and linear ArF resists (2010) (7)
- Liquid crystalline networks from 1,4‐benzenedicarboxylic acid bis(4‐cyanatomethylphenyl) ester (1997) (7)
- Multicomponent Physical Vapor Deposited Films with Homogeneous Molecular Material Distribution Featuring Improved Resist Sensitivity (2012) (7)
- Smectic rheology (1997) (7)
- Group-transfer polymerization of tert-butyl methacrylate and [3-(methacryloxy)propyl]pentamethyldisiloxane : Synthesis and characterization of homopolymers and random and block copolymers (1996) (7)
- Surface patterning and biological evaluation of semi-interpenetrated poly(HEMA)/poly(alkyl β-malolactonate)s (2003) (7)
- Dynamic x‐ray diffraction studies of liquid‐crystalline polyesters (1990) (7)
- Preparation and properties of mesomorphic oligo(oxalate)s (1982) (7)
- Surfaces of Semi-Fluorinated Block Copolymers Studied Using Nexafs (1998) (7)
- Molecular Association in Nematic Phases of Cyclic Liquid Crystal Oligomers (1996) (7)
- Synthesis, Processing, and Characterization of Helical Polypeptide Rod-Coil Mixed Brushes. (2018) (7)
- Block copolymers containing stable radical and fluorinated blocks with long-range ordered morphologies prepared by anionic polymerization. (2019) (7)
- Switching surface polarity: synthesis and characterization of a fluorinated block copolymer with surface-activetert-butoxycarbonyl groups (2000) (7)
- Directed self-assembly: A dress code for block copolymers. (2017) (7)
- BLOCK COPOLYMERS AS LITHOGRAPHIC MATERIALS (1996) (7)
- Silicon Containing Organic-Inorganic Hybrid Materials as EUV Photoresists (2005) (6)
- Organoelement resists for EUV lithography (2002) (6)
- Synthesis of Novel Fluorinated [sgrave]-Conjugated Silicon-Containing Polymers: Polysilynes and Polysilanes (1992) (6)
- Creating Defined 3‐D Defects Inside an Opaline Ormocer® Matrix with Two‐Photon Lithography (2007) (6)
- Synthesis and Processing of Organic Materials in Supercritical Carbon Dioxide (2009) (6)
- Positive Tone Nanoparticle Photoresists: New Insight on the Patterning Mechanism (2016) (6)
- High-index nanocomposite photoresist for 193-nm lithography (2009) (6)
- Microfabrication of hydrogels for biomedical applications (2002) (6)
- An electrochemical glucose sensor from an organically modified nanocomposite of viologen and TiO2. (2010) (6)
- New architectures for high resolution patterning (2008) (6)
- Inkjet printing of fluorinated materials and their application to patterning organic semiconductors (2013) (6)
- Molecular orientation and dynamics in ferroelectric diblock copolymers monitored by FT-IR spectroscopy (1998) (6)
- Amphiphilic Nitroxide-Bearing Siloxane-Based Block Copolymer Coatings for Enhanced Marine Fouling Release. (2021) (6)
- Positive tone oxide nanoparticle EUV (ONE) photoresists (2016) (6)
- Block copolymers with stable radical and fluorinated groups by ATRP (2015) (6)
- Phase transitions in alkylene glycol terephthalate copolyesters containing mesogenic p-oxybenzoate units (1981) (6)
- Understanding of PS-b-PMMA phase segregation under laser-induced millisecond thermal annealing (2015) (6)
- Studies of liquid-crystalline polymer phase transitions using synchrotron x-radiation (1989) (6)
- Curvature driven relaxation of disclination loops in liquid crystals (1998) (6)
- Toward Single-Fiber Diffraction of Spider Dragline Silk fromNephila clavipes (1993) (6)
- New Approaches to EUV Photoresists: Studies of Polyacetals and Polypeptoids to Expand the Photopolymer Toolbox (2021) (5)
- Materials systems for 2-photon lithography (2020) (5)
- EUV metal oxide hybrid photoresists: ultra-small structures for high-resolution patterning (2018) (5)
- Combinatorial Techniques to Efficiently Investigate and Optimize Organic Thin Film Processing and Properties (2013) (5)
- Liquid crystal copolyethers with mixed mesogenic units and flexible spacers (1990) (5)
- The temperature dependence of nematic liquid crystalline polymer melt diffusion (1993) (5)
- Conducting electron-beam resists based on polyaniline (1998) (5)
- Molecular glass resists developable in supercritical carbon dioxide for 193 nm lithography (2009) (5)
- Nomenclature and graphic representations for chemically modified polymers (IUPAC Recommendations 2014) (2015) (5)
- Handbook of Liquid Crystal Research Edited by Peter J. Collings (Swarthmore College) and Jay S. Patel (Pennsylvania State University). Oxford University Press: Oxford and New York. 1997. xv + 600 pp. $195.00. ISBN 0-19-508442-X. (1998) (5)
- Precise Synthesis of Fluorine-containing Block Copolymers via RAFT (2016) (5)
- Patternable block copolymers with high transparency at 157 nm: Fluorocarbinol functionalized poly(isoprene-b-cyclohexane) (2004) (5)
- Transient micropackets for silicon dioxide and polymer-based vaporizable electronics (2016) (5)
- Structural characterization of biphenyl ester-based LC molecules : Peculiarities of cyclic siloxane-based materials (1996) (5)
- Model Polymers with Distyrylbenzene Segments for Third-Order Nonlinear Optical Properties (1991) (5)
- Orthogonal lithography for organic electronics (2010) (5)
- Entropic death of nonpatterned and nanopatterned polyelectrolyte brushes (2019) (5)
- Top-down Meets Bottom up: Block Copolymers with Photoreactive Segments (2012) (5)
- Synthesis and Phase Behavior of Side-Group Liquid Crystalline Polymers in Nematic Solvents (2004) (5)
- Structural organisations in polystyrene-based semifluorinated block copolymers for low surface energy coatings (2004) (5)
- From surface coatings to polymer nanofilms: lifting off polymer brushes (2013) (4)
- Patterning mechanism of metal based hybrid EUV resists (2018) (4)
- Two-photon 3D lithography: materials and applications (2004) (4)
- Melt Diffusion in Model Liquid Crystalline Polyiers (1991) (4)
- Strong Polyelectrolyte Brushes via Alternating Copolymers of Styrene and Maleimides: Synthesis, Properties, and Stability (2022) (4)
- Negative-tone development of photoresists in environmentally friendly silicone fluids (2012) (4)
- Environmentally friendly natural materials-based photoacid generators for next-generation photolithography (2011) (4)
- Semifluorinated polyesters with low surface energy. (1998) (4)
- Inorganic polymer resists for EUVL (2005) (4)
- Block copolymers as additives: a route to enhanced resist performance (1999) (4)
- Chemical Heterogeneity in Liquid-Crystalline Polyesters (1990) (4)
- UV-Triggered Transient Electrospun Poly(propylene carbonate)/Poly(phthalaldehyde) Polymer Blend Fiber Mats. (2018) (4)
- Interface manipulated two-phase nanostructure in a triblock terpolymer with a short middle segment (2016) (4)
- Investigation of N-Substituted Morpholine Structures in an Amphiphilic PDMS-Based Antifouling and Fouling-Release Coating. (2022) (4)
- Investigation of acid diffusion during laser spike annealing with systematically designed photoacid generators (2012) (4)
- Controlled roughness reduction of patterned resist polymers using laser-induced sub-millisecond heating (2014) (4)
- Progress in metal organic cluster EUV photoresists (2018) (4)
- Phase behaviour of PMMA-b-PHEMA with solvents methanol and THF: modelling and comparison to the experiment. (2014) (4)
- Hydrophobic and Hydrophilic Fluoropolymers as Non-Adhesive Interfaces in Marine Biofouling (2005) (4)
- New liquid crystal polyethers and polyesters based on diphenylbutadiene mesogens (1992) (3)
- New oxide nanoparticle extreme-UV photoresists achieve high sensitivity (2014) (3)
- Synthesis and mechanical properties of semi-flexible polymer networks (1998) (3)
- Strategies for High Transparency Acrylate Resists for 157 nm Lithography (2003) (3)
- Control of morphology orientation in lithographically patternable diblock copolymers (2007) (3)
- Environmentally Stable, Low Dielectric Polymers from Soluble Intermediates (1989) (3)
- Defining the Biology-Materials Interface using both 2D and 3D Lithography (2006) (3)
- Electroluminescence from Solution-Processed Pinhole-Free Nanometer-Thickness Layers of Conjugated Polymers. (2018) (3)
- Line width roughness reduction by rational design of photoacid generator for sub-millisecond laser post-exposure bake (2014) (3)
- Supercritical CO2-philic nanoparticles suitable for determining the viability of carbon sequestration in shale (2015) (3)
- Materials for biosurfaces (2012) (3)
- Wetting and dissolution studies of fluoropolymers used in 157 nm photolithography applications (2004) (3)
- Liquid crystalline polyesters by staged-addition polycondensation (1988) (3)
- Mixtures of Liquid-Crystalline and Amorphous Dicyanates: Unusual Curing Behavior and Mechanical Properties (1997) (3)
- Radical sensitive zinc-based nanoparticle EUV photoresists (2019) (3)
- Line edge roughness of high deprotection activation energy photoresist by using sub-millisecond post exposure bake (2013) (3)
- Nanopatterning with tailored molecules (2014) (3)
- Polymers for advanced functional materials (2012) (3)
- Characterization of the Non-uniform Reaction in Chemically Amplified Calix[4]resorcinarene Molecular Resist Thin Films (2011) (3)
- Malolactonate polymers and copolymers for biomedical applications (2003) (3)
- Anti-Biofouling Properties of Comb-Like Block Copolymer With Amphiphilic Side-Chains | NIST (2006) (3)
- Polymer-Grafted Nanoparticles (PGNs) with Adjustable Graft-Density and Inter-Particle Hydrogen Bonding Interaction. (2021) (3)
- Multi-modal graphene polymer interface characterization platform for vaporizable electronics (2015) (3)
- Block Copolymer Nanostructured Thin Films for Advanced Patterning (2011) (3)
- The Challenges of Highly Sensitive EUV Photoresists (2018) (3)
- Top-Down versus Bottom-Up Patterning of Polymers (2012) (3)
- High-Performance Chain Scissionable Resists for Extreme Ultraviolet Lithography: Discovery of the Photoacid Generator Structure and Mechanism (2022) (3)
- Recent Progress in EUV Metal Oxide Photoresists (2017) (3)
- New thermotropic polyesters from distyrylbenzene bisphenols (1990) (3)
- Dissolution rate measurements for resist processing in supercritical carbon dioxide (2002) (3)
- Synthesis, Characterization and Lithography Performance of Photoacid Generator with Short Perfluoroalkyl Anion (2005) (3)
- Copolymer approach to charge-dissipating electron-beam resists (1998) (3)
- Nonplanar Surface Organization of Monodendrons in Side-Chain Modified Liquid Crystalline Block Copolymers (2008) (3)
- Development of a bond contribution model for structure: property correlations in dry etch studies (2001) (3)
- Probing electric field response of LC thermosets via time-resolved X-ray and dielectric spectroscopy (2011) (3)
- Manipulation of Organization in Polymers Using Tandem Molecular Interactions (1997) (3)
- Interaction of Ulva and Navicula Marine Algae with Surfaces of Pyridinium Polymers with Fluorinated Side-Chains (2005) (3)
- New self-assembly strategies for next generation lithography (2010) (2)
- Preparation of Polyphenylene and Copolymers for Microelectronics Applications (1995) (2)
- Effect of monomer hydrophilicity on ARGET–ATRP kinetics in aqueous mini‐emulsion polymerization (2021) (2)
- Nematic-smectic biphase of a main-chain liquid crystalline polyether (1995) (2)
- Evaluation of fluorinated dissolution inhibitors for 157-nm lithography (2003) (2)
- Metal organic cluster photoresists: new metal oxide systems (2019) (2)
- Structure Development in Side Group Liquid Crystalline Diblock Copolymers (1999) (2)
- Fluorinated dissolution inhibitors for 157-nm lithography (2002) (2)
- TWIN NEMATIC PHENYLBENZOATES IN A.C. ELECTRIC FIELDS (1998) (2)
- Block and random copolymer resists designed for 193-nm lithography and environmentally friendly supercritical CO2 development (1996) (2)
- Individually detachable polymer-silicon micro-parts for vaporizable electronics (2017) (2)
- Review of essential use of fluorochemicals in lithographic patterning and semiconductor processing (2022) (2)
- Phase‐Selective Chemistry in Block Copolymer Systems (2010) (2)
- Materials Overview for 2-Photon 3D Printing Applications (2018) (2)
- Polymer Brushes: Polymer Brushes on Hexagonal Boron Nitride (Small 19/2019) (2019) (2)
- Patterning by Photolithography (2011) (2)
- Silica‐PMMA hairy nanoparticles prepared via phase transfer‐assisted aqueous miniemulsion atom transfer radical polymerization (2020) (2)
- Patterning conventional photoresists in environmentally friendly silicone fluids (2011) (2)
- Order within order: Studies of semifluorinated block copolymers (1997) (2)
- Chemistry in a Multidisciplinary, Interdisciplinary World (2018) (2)
- Synchrotron Radiation for Probing the Electric Field Alignment of LC Macromolecules and Polymers (2000) (2)
- Ionic Dopant‐Induced Ordering Enhances the Thermoelectric Properties of a Polythiophene‐Based Block Copolymer (2021) (2)
- Polymer tacticity in simulated NMR spectra (1989) (2)
- Solvent development processing of chemically amplified resists: chemistry, physics, and polymer science considerations (2011) (2)
- All-dry processible and PAG-attached molecular glasses for improved lithographic performance (2010) (2)
- Design and characterization of a new reworkable epoxy using solvent free, thermally induced networ (1997) (2)
- POSITIVE-TONE RESIST FOR SUPERCRITICAL CO 2 PROCESSING (2002) (2)
- A brief guide to polymer nomenclature from IUPAC (2013) (2)
- Systematic study of ligand structures of metal oxide EUV nanoparticle photoresists (2015) (2)
- 3D defect engineering in polymer opals (2006) (2)
- Polyelectrolyte‐Surfactant Complexes in the Solid State: Facile Building Blocks for Self‐Organizing Materials (1997) (2)
- Deprotection reaction kinetics in chemically amplified photoresists determined by sub-millisecond post exposure bake (2012) (2)
- High-Resolution Nanopatterning of Free-Standing, Self-Supported Helical Polypeptide Rod Brushes via Electron Beam Lithography. (2021) (2)
- Achieving small dimensions with an environmentally friendly solvent: photoresist development using supercritical CO2 (2008) (2)
- All-organic non-PFOS nonionic photoacid generating compounds with functionalized fluoroorganic sulfonate motif for chemically amplified resists (2006) (2)
- Quantitative measurement of the polydispersity in the extent of functionalization of glass-forming calix[4]resorcinarenes. (2009) (2)
- Terminology of polymers in advanced lithography (IUPAC Recommendations 2020) (2020) (2)
- Curing and Alignment of Liquid Crystalline Epoxy Networks (1990) (2)
- Photopatterning of Indomethacin Thin Films: a Solvent-Free Vapor-Deposited Photoresist. (2015) (2)
- Supercritical fluid processing: opportunities for new resist materials and processes (1996) (2)
- Synchrotron X-Ray Radiation (CHESS) Study of Electric-Field Induced Changes in the Structure of Thermotropic Side-Chain Liquid Crystals (1993) (2)
- The effect of EUV molecular glass architecture on the bulk dispersion of a photo-acid generator (2008) (2)
- Environmentally friendly processing of photoresists in scCO2 and decamethyltetrasiloxane (2010) (2)
- The Processing of LC Thermosets in Orienting External Fields (1996) (2)
- Influence of spin casting‐solvent on the self‐assembly of silicon‐containing block copolymers thin films via high‐temperature thermal treatment (2021) (2)
- Studies of Environmentally Friendly Solvent-based Developers (2011) (2)
- Development of metal organic cluster EUV photoresists (2019) (2)
- Metal Organic Cluster Photoresists for EUV Lithography (2019) (2)
- Development of New Polymeric Materials for Linear Waveguides (1992) (2)
- Block Copolymers as Antifouling and Fouling Resistant Coatings (2015) (2)
- Diffusion and Distribution of Photoacid Generators in thin Polymer Films (1999) (1)
- Spatially Controlled Transience of Graphene‐Polymer Electronics with Silicon Singulation (2019) (1)
- Time-Resolved X-Ray Diffraction from Liquid Crystalliine Systems: Thermotropic Phase Transitions and the Effect of Applied Electric Fields (1991) (1)
- Quantitative measurement of the molecular-mass distribution in calix[4]resorcinarene molecular glass resists by mass spectrometry (2009) (1)
- The curing of dicyanate ester liquid crystalline thermosets (1996) (1)
- Effect of polymer architecture on self-diffusion of LC polymers (1999) (1)
- New Materials For Polymer Waveguides (1992) (1)
- Bionanofabrication polyhydroxyalkanoates (PHAS) micro-/nanostructures on solid surfaces and its applications in nanobiotechnology (2007) (1)
- A brief guide to polymer nomenclature: Version 1.1 (2012) (2013) (1)
- CHEMICAL STRATEGIES FOR CONTROL OF PHASE STRUCTURE IN BLOCK COPOLYMERS (2005) (1)
- RESISTANCE OF TRIBLOCK COPOLYMERS WITH GRAFTED AMPHIPHILIC SIDE CHAINS TO FOULING BY PROTEIN AND ALGAE (2010) (1)
- Applications of Controlled Macromolecular Architectures to Lithography (2011) (1)
- Chemistry Research Funding (2009) (1)
- Conductivity and third-order nonlinear optical measurements of polymers with distyrylbenzene and diphenylbutadiene segments (1993) (1)
- Using Liquid Crystals to Probe the Organization of Helical Polypeptide Brushes Induced by Solvent Pretreatment (2021) (1)
- Robert W. Lenz (2011) (1)
- Correction: Manipulation of cell adhesion and dynamics using RGD functionalized polymers. (2017) (1)
- Applicaton of blends and side chain Si-O copolymers as high-etch-resistant sub-100-nm e-beam resists (2002) (1)
- PMSE 362-Antifouling ABC triblock copolymers with grafted functionality (2008) (1)
- The formation of laser active composite films from silicate ceramics (1996) (1)
- New liquid crystal materials enabling revolutionary display devices (2002) (1)
- Contact analysis studies of an ESCAP resist with scCO2 compatible additives (2009) (1)
- Effects of Amphiphilic Polypeptoid Side Chains on Polymer Surface Chemistry and Hydrophilicity. (2022) (1)
- Multicomponent Polyester Systems with Mesogenic Units (1980) (1)
- Hexafluoroisopropyl and trifluoromethyl carbinols in an acrylate platform for 157-nm chemically amplified resists (2004) (1)
- Supercritical CO2 for high resolution photoresist development (2006) (1)
- Polymer-platform-dependent characteristics of 193-nm photoresists (1999) (1)
- Collaborative Research Funding (2014) (1)
- 8.01 – Introduction – Applications of Polymers (2012) (1)
- Synthesis of End-Cap Enabled Self-Immolative Photoresists For Extreme Ultraviolet Lithography. (2022) (1)
- High-sensitivity two-photon photoacid generator for three-dimensional microfabrication (2002) (1)
- “Multicomponent” Segmented Polyester Systems Containing Mesogenic Residues (1984) (1)
- Crystallization of Precursors to Forsterite and Chromium‐Doped Forsterite. (1994) (1)
- Processing Polymers in Supercritical CO2 (2002) (1)
- ConfChem Conference on A Virtual Colloquium to Sustain and Celebrate IYC 2011 Initiatives in Global Chemical Education—The Continuing Celebration of IYC 2011: What the IUPAC Polymer Division Is Doing To Keep Things Going (2013) (1)
- Bionanofabrication polyhydroxyalkanoates (PHAS) micro-/nano-structures on solid surfaces and its applications in nanobiotechnology (2006) (1)
- Thermal Stability of π-Conjugated n-Ethylene-Glycol-Terminated Quaterthiophene Oligomers: A Computational and Experimental Study. (2020) (1)
- The convergence of top-down and bottom-up nanofabrication: formation of 3D structures (2005) (1)
- Directly patterned mesoporous dielectric films templated from chemically amplified block copolymers (2008) (1)
- Scissionable polymer photoresist for EUV lithography (2022) (1)
- Hydrosiloxane-modified styrene-diene block copolymer resists (1993) (0)
- Synthesis of N ‐Substituted Maleimides and Poly(styrene‐ co ‐ N ‐maleimide) Copolymers and Their Potential Application as Photoresists (2022) (0)
- Role of monomer sequence and backbone chemistry in polypeptoid copolymers for marine antifouling coatings (2017) (0)
- Polymer brushes: Tools for surface design (2011) (0)
- High-sensitivity material systems for two-photon three dimensional microfabrication (2004) (0)
- Small and Large Strain Deformation of Liquid Crystalline Polymer Networks (1996) (0)
- Ion Transport in 2D Nanostructured π-Conjugated Thieno[3,2-b]thiophene-Based Liquid Crystal. (2022) (0)
- Increasing the Global Impact of the Polymer Division (2009) (0)
- Fluorinated Side-Chain Polystyrene-Based Polymers (2002) (0)
- The synthesis and characterization of some liquid crystalline polyesters based upon the oxybenzoate-terephthalate mesogenic unit. (1982) (0)
- Morphology of Covalent and Hydrogen Bonded Side Group Liquid Crystalline Block Copolymers: Orientation by Oscillatory Shear and Device Applications (2000) (0)
- Exploring Low-Frequency ST-FMR : Simulation and Experiment (2016) (0)
- Study of Fluorine-Containing Block Copolymers Designed for Top-Down and Bottom-Up Lithography CNF Project # 386-90 (2011) (0)
- Fabrication Processes: Extrusion and Molding (2014) (0)
- A process for preparing ink compositions. (1987) (0)
- Photoresists with precisely controlled molecular weight, composition and sequence (2023) (0)
- Ionic photoacid generators containing functionalized semifluorinated sulfonates for high-resolution lithography (2008) (0)
- Generalized Microfluidic Immunosensor for Antibody Detection CNF Project Number : 1757-09 Principal Investigator : (2017) (0)
- Formkörper mit Oberflächen, die eine strukturierte Hydrophilie aufweisen (1999) (0)
- Investing Early Signaling Events in IgE-FcɛRI Activation Using SEM (2010) (0)
- Supercritical CO2 for Resist Development: Towards an All-Dry Lithography Process (2005) (0)
- CCDC 844943: Experimental Crystal Structure Determination (2011) (0)
- Small Molecule and Hybrid Photoresists for High Resolution Lithography (2009) (0)
- Curing of liquid crystalline networks in electric fields: Preparation of oriented thin films. (1995) (0)
- Intrinsic conductivity mechanisms of radical polymer films with conjugated and non-conjugated backbones (2018) (0)
- Rapid thermal processing of self-assembling block copolymer thin films on flat surfaces and topographically defined patterns (2014) (0)
- Research data supporting: Electroluminescence from solution-processed pinhole-free nm-thickness layers of conjugated polymers (2018) (0)
- Sequence-defined polypeptoid CARs for electron-beam and EUV lithography (2023) (0)
- Quantitative Measurement of the Polydispersity in the Extent of Functionalization of Glass Forming Resorcinarenes | NIST (2009) (0)
- Zero-Mode-Waveguides Used in Cell and Surface Interactions (2008) (0)
- Coloured toner compositions (1986) (0)
- Molecular glass resists for next generation lithography (2008) (0)
- Bioinspired and Biobased Chemistry & Materials (2016) (0)
- Progress in EUV Photoresists for High-Resolution Patterning (2022) (0)
- Patterning of Multiple Block Copolymers per Layer with Orthogonal Processing (2011) (0)
- Nanoscopic Rearrangement Of Outer And Inner Leaflet Membrane Proteins Due To Ige Receptor Cross-linking (2009) (0)
- Advances in 2 D and 3 D Patterning for Nanotechnology (2002) (0)
- Controlled-Order Thermosets for Electronic Packaging (1999) (0)
- Non-Leaching, Benign Antifouling Multilayer Polymer Coatings for Marine Applications (2010) (0)
- Controlled Sequence Photoresists from Polypeptoids (2022) (0)
- New Strategies for Patterning at nm Dimensions (2002) (0)
- Hydrophilierbare block copolymers (1999) (0)
- Synthesis and characterization of self-assembling block copolymers containing fluorine groups (2012) (0)
- Studying the mechanism of hybrid nanoparticle EUV photoresists (2015) (0)
- Peptoid Photoresists with Precisely Controllable Length and Composition (2021) (0)
- Compositional Depth Profiling of Block Copolymer Surfaces using NEXAFS (2006) (0)
- Effect of Annealing Temperature on the Surface Composition of Block Copolymers with Semifluorinated Side Chains (2006) (0)
- Polymer brushes as versatile platforms for biosensors (2014) (0)
- Photoimageable polymer waveguides for optoelectronic applications (1993) (0)
- Physical States and Transitions (2014) (0)
- Spatial and Temporal Control of Chemical Structure for Biofouling Resistant, High Fouling Release Surfaces (2014) (0)
- The World Chemistry Leaders meet the century-old IUPAC (2020) (0)
- Modular Synthesis of Phthalaldehyde Derivatives Enabling Access to Photoacid Generator-Bound Self-Immolative Polymer Resists with Next-Generation Photolithographic Properties. (2022) (0)
- Novel Route to Mesoporous silica with perpendicular nanochannels from polymer/inorganic nanocomposite films (2005) (0)
- Star Resist Roughness CNF Project # 386-90 Principal Investigator ( s ) : (2010) (0)
- N-Type Polymer from Suzuki Polycondensation (2011) (0)
- High-resolution nanopatterning of mixed rod-coil polymer brushes via electron beam lithography (2021) (0)
- Sources of Per- and Polyfluoroalkyl Substances in Photolithography Wastewater (2022) (0)
- Photolithographic Patterning in Supercritical Carbon Dioxide: Application to Patterned Light-emitting Devices (2008) (0)
- Surface self assembly in side chain modified block copolymers (2001) (0)
- 2018 CNF TCN SHORT COURSE CONTINUES for ALL FIVE CNF REUs (2018) (0)
- Hydroxyethyl Substituted Polyanilines: Chemistry and Applications as Resists (1999) (0)
- Deep Learning for Predicting CD-SEMS of NEMS Devices (2022) (0)
- Electrical and magnetic characterization of doped conjugated polymers with pendent stable radicals (2019) (0)
- Development of Model Neural Prostheses with Integrated Microfluidics for Drug Delivery (2005) (0)
- Positive-tone conducting electron-beam resists (1997) (0)
- Mixed ionic/electronic conduction in a oligoethyleneglycol-terminated oligothiophene rod-coil oligomer (2018) (0)
- Role of monomer sequence and backbone structure in polypeptoid and polypeptide polymers for anti-fouling applications (2016) (0)
- Synthesis, Structure and Properties: Block Copolymers Containing Liquid Crystalline Segments (2008) (0)
- Mesoscale Architectures from Nano-Units-Assembly , Fabrication , and Properties November 29-December 2 , 2004 (2004) (0)
- One-Component Molecular Glass Photoresists for EUV Lithography (2020) (0)
- Studies in Biological-Materials Interfaces. (2006) (0)
- Tuning physical properties and mesophase behavior in liquid crystalline thermoset mixtures. (1996) (0)
- Correction: Impact of the synthesis method on the solid-state charge transport of radical polymers (2018) (0)
- Molecularly Dispersed Polymer-Ceramic Nanocomposites. (1996) (0)
- Surface and interface behavior of semifluorinated liquid crystalline block copolymers (2000) (0)
- ENVIRONMENTALLY RESPONSIVE BLOCK COPOLYMERS AS ANTI-BIOFOULING SURFACES (2009) (0)
- Architectural Studies in High Resolution , Versatile Resists (2008) (0)
- Hydrophilierbare Blockcopolymere, Verfahren zu ihrer Herstellung und deren Verwendung (1999) (0)
- Positive-tone conducting E-beam resists (1997) (0)
- Nitroxide-Containing Amphiphilic Random Terpolymers for Marine Antifouling and Fouling-Release Coatings. (2023) (0)
- TONER COMPOSITIONS WITH STABLZER RREVERSIBLY ANCHORED THERETO BACKGROUND OF THE INVENTION (2017) (0)
- Polymer Science: Responding to a Changing World (2008) (0)
- Tailoring surface properties using functional side groups on block copolymers (2006) (0)
- Development of Mesoporous, Ultra Low Dielectric Constant, Patterned Films by 3-D Replication of Structured Organic Templates: A University/Industry/National Laboratory Collaboration (2004) (0)
- Amplification By Optical Composites (1997) (0)
- The investigation of melt diffusion in liquid crystalline polymers by forward recoil spectrometry (FRES) (1992) (0)
- Architectural Effects on Etch Rate of Glass Star Photoresists (2008) (0)
- Hydrophilierbare block copolymers, processes for their preparation and their use (1999) (0)
- Zhang, Z. S. et al. Heat capacity measurements of two-dimensional self-assembled hexadecanethiol monolayers on polycrystalline gold. Appl. Phys. Lett. 84, 5198-5200 (2004) (0)
- Network formation under applied external fields. (1997) (0)
- to complement conventional silicon technologies with light-weight, mechanically flexible organic semiconducting materials. (2009) (0)
- THRUST D: PATTERNING (2004) (0)
- Environmentally Friendly Development of Photoresists in Silicone Fluids (2010) (0)
- Real time quantification of mixed ion and electron transfer associated with the doping of poly(3-hexylthiophene) (2022) (0)
- Patterned mesoporous media via 3-D replication in supercritical Carbon dioxide. (2006) (0)
- Poster session III * Friday 10 December 2010, 08:30-12:30 (2010) (0)
- Chemical reaction and diffusion kinetics during laser-induced submillisecond heating for lithographic applications (2019) (0)
- Phenolic Based Molecular Glass Resists for Next Generation Lithography (2008) (0)
- Stability and microbial toxicity of HfO2 and ZrO2 nanoparticles for photolithography (2019) (0)
- Optical composite materials (1995) (0)
- Non-Leaching, Benign, Fouling Control, Multilayer Polymer Coatings for Marine Applications: PP-1274 Findings (2003) (0)
- Erratum to: Nomenclature and graphic representations for chemically modified polymers (IUPAC Recommendations 2014) (2015) (0)
- Controlled sequence peptoids as photoresist platforms for high-resolution DUV/EUV photoresists (2022) (0)
- Formation of Transparent Silica—Polymer Hybrids Based on Siloxane-Containing Polyimides (1996) (0)
- Fabrication of Patterned Mesoporous Silica Films Templated From Chemically Amplified Block Copolymers (2007) (0)
- Depth Profiling using Nexafs Spectroscopy (2007) (0)
- Structure and Properties of Smectic Mesophases Confined to Block Copolymer Microdomains (1998) (0)
- Adhesion Studies on Modified PDMS Network Interfaces (1996) (0)
- Visualization of the pH Response through Autofluorescent Poly(styrene-alt-N-maleimide) Polyelectrolyte Brushes (2023) (0)
- Block Copolymers: Liquid Crystalline (2001) (0)
- OF DISTURBANCES FOR VISCOELASTIC FLOWS IN LONG CHANNELS WITH COMPLEX CROSS-SECTIONS (1997) (0)
- Kompatibilität in HLA-DQA1 und HLA-DQB1 Allelen zwischen Mutter und Fetus ist kein zusätzlicher Risikofaktor bei idiopatischen wiederholten Aborten (1993) (0)
- Using block copolymer assembly to tailor surface properties. (2008) (0)
- Nanohybrids and Nanobiohybrids: bottom-up approach to nanopatterned surface arrays and applications (2005) (0)
- NOVEL ANTI-BIOFOULING AND ANTIMICROBIAL BLOCK COPOLYMERS : POLYMER SYNTHESIS AND SURFACE CHARACTERIZATION (2009) (0)
- Further remarks on falling coaxial cylinder with wide shear rate range (1978) (0)
- Environmentally Benign Development of Photoresists in Supercritical Carbon Dioxide (2009) (0)
This paper list is powered by the following services:
Other Resources About Christopher Ober
What Schools Are Affiliated With Christopher Ober?
Christopher Ober is affiliated with the following schools: