Dim‐lee Kwong
#111,455
Most Influential Person Now
Dim‐lee Kwong's AcademicInfluence.com Rankings
Dim‐lee Kwongengineering Degrees
Engineering
#3233
World Rank
#4275
Historical Rank
Applied Physics
#552
World Rank
#572
Historical Rank
Electrical Engineering
#693
World Rank
#757
Historical Rank

Download Badge
Engineering
Dim‐lee Kwong's Degrees
- PhD Electrical Engineering Stanford University
- Masters Electrical Engineering Stanford University
- Bachelors Electrical Engineering Stanford University
Why Is Dim‐lee Kwong Influential?
(Suggest an Edit or Addition)Dim‐lee Kwong's Published Works
Number of citations in a given year to any of this author's works
Total number of citations to an author for the works they published in a given year. This highlights publication of the most important work(s) by the author
Published Works
- High-performance fully depleted silicon nanowire (diameter /spl les/ 5 nm) gate-all-around CMOS devices (2006) (537)
- An inverted organic solar cell employing a sol-gel derived ZnO electron selective layer and thermal evaporated MoO3 hole selective layer (2008) (529)
- Regenerative oscillation and four-wave mixing in graphene optoelectronics (2012) (520)
- Improved dye-sensitized solar cells with a ZnO-nanoflower photoanode (2007) (495)
- All-optical analog to electromagnetically induced transparency in multiple coupled photonic crystal cavities. (2009) (310)
- Energy gap and band alignment for (HfO2)x(Al2O3)1−x on (100) Si (2002) (290)
- Si nanopillar array optimization on Si thin films for solar energy harvesting (2009) (267)
- Silicon Modulators and Germanium Photodetectors on SOI: Monolithic Integration, Compatibility, and Performance Optimization (2010) (259)
- Thermal stability of ultrathin ZrO2 films prepared by chemical vapor deposition on Si(100) (2001) (252)
- Switchable Magnetic Metamaterials Using Micromachining Processes (2011) (228)
- Microelectromechanical Maltese-cross metamaterial with tunable terahertz anisotropy (2012) (216)
- Vertical Silicon-Nanowire Formation and Gate-All-Around MOSFET (2008) (208)
- Design guidelines of periodic Si nanowire arrays for solar cell application (2009) (197)
- Electrical characteristics of ultrathin oxynitride gate dielectric prepared by rapid thermal oxidation of Si in N2O (1990) (191)
- N-type Schottky barrier source/drain MOSFET using ytterbium silicide (2004) (191)
- A dynamic random access memory based on a conjugated copolymer containing electron-donor and -acceptor moieties. (2006) (180)
- Gate-tunable frequency combs in graphene–nitride microresonators (2018) (170)
- A Micromachined Reconfigurable Metamaterial via Reconfiguration of Asymmetric Split‐Ring Resonators (2011) (166)
- Bistable resistance switching of poly(N-vinylcarbazole) films for nonvolatile memory applications (2005) (159)
- An optical neural chip for implementing complex-valued neural network (2021) (158)
- Dynamic NBTI of p-MOS transistors and its impact on MOSFET scaling (2002) (156)
- Ultra-Narrow Silicon Nanowire Gate-All-Around CMOS Devices: Impact of Diameter, Channel-Orientation and Low Temperature on Device Performance (2006) (156)
- High-bendability flexible dye-sensitized solar cell with a nanoparticle-modified ZnO-nanowire electrode (2008) (152)
- Mode-locked ultrashort pulse generation from on-chip normal dispersion microresonators. (2014) (151)
- Effect of surface NH3 anneal on the physical and electrical properties of HfO2 films on Ge substrate (2004) (148)
- p-type conduction in unintentional carbon-doped ZnO thin films (2007) (146)
- Demonstration of Tunneling FETs Based on Highly Scalable Vertical Silicon Nanowires (2009) (145)
- Si, SiGe Nanowire Devices by Top–Down Technology and Their Applications (2008) (143)
- $\hbox{HfO}_{x}/\hbox{TiO}_{x}/\hbox{HfO}_{x}/ \hbox{TiO}_{x}$ Multilayer-Based Forming-Free RRAM Devices With Excellent Uniformity (2011) (140)
- Ultralow Power Silicon Photonics Thermo-Optic Switch With Suspended Phase Arms (2011) (139)
- Fermi pinning-induced thermal instability of metal-gate work functions (2004) (132)
- Metal nanocrystal memory with high-/spl kappa/ tunneling barrier for improved data retention (2005) (130)
- Photoluminescence and formation mechanism of chemically etched silicon (1992) (127)
- Physical mechanisms of endurance degradation in TMO-RRAM (2011) (127)
- DNA detection using nanostructured SERS substrates with Rhodamine B as Raman label. (2008) (124)
- Design and in Vitro Test of a Differentially Fed Dual-Band Implantable Antenna Operating at MICS and ISM Bands (2014) (124)
- Ge diffusion in Ge metal oxide semiconductor with chemical vapor deposition HfO2 dielectric (2005) (120)
- Alternative surface passivation on germanium for metal-oxide-semiconductor applications with high-k gate dielectric (2004) (115)
- Broadband gate-tunable terahertz plasmons in graphene heterostructures (2017) (114)
- Optimization of an inverted organic solar cell (2010) (109)
- A Flat Lens with Tunable Phase Gradient by Using Random Access Reconfigurable Metamaterial (2015) (109)
- WDM multi-channel silicon photonic receiver with 320 Gbps data transmission capability. (2010) (109)
- Silicon-based horizontal nanoplasmonic slot waveguides for on-chip integration. (2011) (108)
- A 0.18μm CMOS 802.15.4a UWB Transceiver for Communication and Localization (2008) (106)
- Zero phase delay in negative-refractive-index photonic crystal superlattices (2011) (105)
- Digital microfluidics: Droplet based logic gates (2007) (104)
- Theoretical and experimental investigation of Si nanocrystal memory device with HfO/sub 2/ high-k tunneling dielectric (2003) (104)
- Observation of femtojoule optical bistability involving fano resonances in high-Q/Vm silicon photonic crystal nanocavities (2007) (104)
- Low propagation loss SiN optical waveguide prepared by optimal low-hydrogen module. (2008) (104)
- Silicon-Waveguide-Based Mode Evolution Polarization Rotator (2010) (101)
- Schottky-barrier S/D MOSFETs with high-k gate dielectrics and metal-gate electrode (2004) (100)
- Effects of chemical composition on the electrical properties of NO‐nitrided SiO2 (1995) (94)
- Near-infrared waveguide-based nickel silicide Schottky-barrier photodetector for optical communications (2008) (94)
- Germanium pMOSFETs with Schottky-barrier germanide S/D, high-/spl kappa/ gate dielectric and metal gate (2005) (92)
- Demonstration of photoluminescence in nonanodized silicon (1992) (92)
- Developments in Luminescent Porous Si (1993) (91)
- Wearable Triboelectric-Human-Machine-Interface (THMI) Using Robust Nanophotonic Readout. (2020) (89)
- Thermal stability of (HfO2)(x)(Al2O3)(1-x) on Si (2002) (88)
- Furnace nitridation of thermal SiO/sub 2/ in pure N/sub 2/O ambient for ULSI MOS applications (1992) (88)
- Ultraviolet and visible electroluminescence from n-ZnO∕SiOx∕(n,p)-Si heterostructured light-emitting diodes (2008) (88)
- A novel MONOS-type nonvolatile memory using high-/spl kappa/ dielectrics for improved data retention and programming speed (2004) (88)
- Degradation of oxynitride gate dielectric reliability due to boron diffusion (1996) (87)
- Fast and low power Michelson interferometer thermo-optical switch on SOI. (2008) (87)
- Ultrathin low temperature SiGe buffer for the growth of high quality Ge epilayer on Si(100) by ultrahigh vacuum chemical vapor deposition (2007) (87)
- Suspended optical fiber-to-waveguide mode size converter for silicon photonics. (2010) (86)
- Cascade wide-angle Y-junction 1 x 16 optical power splitter based on silicon wire waveguides on silicon-on-insulator. (2008) (85)
- Split Bull's eye shaped aluminum antenna for plasmon-enhanced nanometer scale germanium photodetector. (2011) (84)
- Observation of four-wave mixing in slow-light silicon photonic crystal waveguides. (2010) (83)
- A nano-opto-mechanical pressure sensor via ring resonator. (2012) (82)
- Theoretical investigation of silicon MOS-type plasmonic slot waveguide based MZI modulators. (2010) (82)
- MOS characteristics of ultrathin NO-grown oxynitrides (1994) (81)
- Low-loss amorphous silicon wire waveguide for integrated photonics: effect of fabrication process and the thermal stability. (2010) (81)
- Epitaxial strained germanium p-MOSFETs with HfO/sub 2/ gate dielectric and TaN gate electrode (2003) (81)
- Heterogeneous 2.5D integration on through silicon interposer (2015) (80)
- A novel high-/spl kappa/ SONOS memory using TaN/Al/sub 2/O/sub 3//Ta/sub 2/O/sub 5//HfO/sub 2//Si structure for fast speed and long retention operation (2006) (80)
- Vertically Stacked SiGe Nanowire Array Channel CMOS Transistors (2007) (79)
- Electro-absorption modulation in horizontal metal-insulator-silicon-insulator-metal nanoplasmonic slot waveguides (2011) (79)
- Electrical properties and thermal stability of CVD HfOxNy gate dielectric with poly-Si gate electrode (2003) (79)
- Microstructural investigations of light‐emitting porous Si layers (1992) (77)
- CMOS Inverter Based on Gate-All-Around Silicon-Nanowire MOSFETs Fabricated Using Top-Down Approach (2007) (76)
- MOS characteristics of ultrathin CVD HfAlO gate dielectrics (2003) (75)
- Control of porous Si photoluminescence through dry oxidation (1992) (74)
- Inverted tandem organic solar cells with a MoO3/Ag/Al/Ca intermediate layer (2010) (74)
- Silicon nanowire sensor array using top–down CMOS technology (2008) (74)
- Differentially Fed Dual-Band Implantable Antenna for Biomedical Applications (2012) (73)
- Study of 15µm pitch solder microbumps for 3D IC integration (2009) (73)
- Physical and electrical characteristics of HfN gate electrode for advanced MOS devices (2003) (72)
- Nickel nanocrystal formation on HfO2 dielectric for nonvolatile memory device applications (2005) (72)
- Silicon High-Order Coupled-Microring-Based Electro-Optical Switches for On-Chip Optical Interconnects (2012) (71)
- Si interlayer passivation on germanium MOS capacitors with high-/spl kappa/ dielectric and metal gate (2005) (71)
- GaAs metal-oxide-semiconductor device with HfO2∕TaN gate stack and thermal nitridation surface passivation (2007) (70)
- Gate-All-Around Junctionless Nanowire MOSFET With Improved Low-Frequency Noise Behavior (2011) (69)
- Effects of NH3 nitridation on oxides grown in pure N2O ambient (1994) (69)
- Si-Nanowire Based Gate-All-Around Nonvolatile SONOS Memory Cell (2008) (69)
- Micro-electro-mechanically switchable near infrared complementary metamaterial absorber (2014) (69)
- Ge MOS characteristics with CVD HfO/sub 2/ gate dielectrics and TaN gate electrode (2003) (68)
- RF, DC, and reliability characteristics of ALD HfO/sub 2/-Al/sub 2/O/sub 3/ laminate MIM capacitors for Si RF IC applications (2004) (68)
- Dual work function metal gates using full nickel silicidation of doped poly-Si (2003) (66)
- Negative U traps in HfO/sub 2/ gate dielectrics and frequency dependence of dynamic BTI in MOSFETs (2004) (66)
- Integrated antennas on Si with over 100 GHz performance, fabricated using an optimized proton implantation process (2003) (66)
- Enhanced four-wave mixing in graphene-silicon slow-light photonic crystal waveguides (2014) (65)
- A broadband chip-scale optical frequency synthesizer at 2.7 × 10−16 relative uncertainty (2016) (65)
- Fully complementary metal-oxide-semiconductor compatible nanoplasmonic slot waveguides for silicon electronic photonic integrated circuits (2011) (63)
- Surface passivation using ultrathin AlNx film for Ge–metal–oxide–semiconductor devices with hafnium oxide gate dielectric (2005) (63)
- Composition and growth kinetics of ultrathin SiO2 films formed by oxidizing Si substrates in N2O (1990) (63)
- Silicided shallow junction formation by ion implantation of impurity ions into silicide layers and subsequent drive‐in (1987) (62)
- Metal‐oxide‐semiconductor characteristics of chemical vapor deposited Ta2O5 films (1992) (61)
- Optimization of NEMS pressure sensors with a multilayered diaphragm using silicon nanowires as piezoresistive sensing elements (2012) (60)
- Modeling of Retention Failure Behavior in Bipolar Oxide-Based Resistive Switching Memory (2011) (60)
- Germanium p- & n-MOSFETs fabricated with novel surface passivation (plasma-PH/sub 3/ and thin AlN) and TaN/HfO/sub 2/ gate stack (2004) (60)
- Hot-carrier-stress effects on gate-induced drain leakage current in n-channel MOSFETs (1991) (60)
- Design of an ultra-compact electro-absorption modulator comprised of a deposited TiN/HfO₂/ITO/Cu stack for CMOS backend integration. (2014) (60)
- Formation of hafnium-aluminum-oxide gate dielectric using single cocktail liquid source in MOCVD process (2003) (60)
- Characterization of RuO2 electrodes on Zr silicate and ZrO2 dielectrics (2001) (59)
- Thermal stability of nitrogen incorporated in HfNxOy gate dielectrics prepared by reactive sputtering (2004) (59)
- Polarization dependent state to polarization independent state change in THz metamaterials (2011) (59)
- Correlation between carrier recombination and p-type doping in P monodoped and In–P codoped ZnO epilayers (2007) (59)
- Dual-work-function metal gates by full silicidation of poly-Si with Co-Ni bi-Layers (2005) (58)
- Effects of ALD HfO2 thickness on charge trapping and mobility (2005) (58)
- Novel evanescent-coupled germanium electro-absorption modulator featuring monolithic integration with germanium p-i-n photodetector. (2011) (58)
- Dual band complementary metamaterial absorber in near infrared region (2014) (58)
- Observation of zeroth-order band gaps in negative-refraction photonic crystal superlattices at near-infrared frequencies. (2009) (58)
- Modeling of tunneling currents through HfO2 and (HfO2)x(Al2O3)/sub 1-x/ gate stacks (2003) (58)
- Highly compact 1T-1R architecture (4F2 footprint) involving fully CMOS compatible vertical GAA nano-pillar transistors and oxide-based RRAM cells exhibiting excellent NVM properties and ultra-low power operation (2012) (57)
- Passive ring-assisted Mach-Zehnder interleaver on silicon-on-insulator. (2008) (57)
- A TaN-HfO/sub 2/-Ge pMOSFET with NovelSiH/sub 4/ surface passivation (2004) (57)
- Microring resonator-assisted Fourier transform spectrometer with enhanced resolution and large bandwidth in single chip solution (2019) (56)
- A fast measurement technique of MOSFETI/sub d/-V/sub g/ characteristics (2006) (56)
- MOS characteristics of ultrathin SiO/sub 2/ prepared by oxidizing Si in N/sub 2/O (1991) (56)
- Wide $V_{\rm fb}$ and $V_{\rm th}$ Tunability for Metal-Gated MOS Devices With HfLaO Gate Dielectrics (2007) (55)
- 3D arrays of SERS substrate for ultrasensitive molecular detection (2007) (55)
- Selective epitaxial germanium on silicon-on-insulator high speed photodetectors using low-temperature ultrathin Si0.8Ge0.2 buffer (2007) (55)
- A low-phase-noise 18 GHz Kerr frequency microcomb phase-locked over 65 THz (2015) (55)
- A nanoelectromechanical systems optical switch driven by optical gradient force (2013) (55)
- New degradation mechanisms and reliability performance in tunneling field effect transistors (2009) (55)
- An Ultracompact Surface Plasmon Polariton-Effect-Based Polarization Rotator (2011) (54)
- Memory effect in the current-voltage characteristic of 8-hydroquinoline aluminum salt films (2006) (54)
- Optimization of inverted tandem organic solar cells (2011) (54)
- 0.2 λ0 Thick Adaptive Retroreflector Made of Spin‐Locked Metasurface (2018) (54)
- Fermi-level pinning induced thermal instability in the effective work function of TaN in TaN/SiO/sub 2/ gate stack (2004) (54)
- Multibit Programmable Flash Memory Realized on Vertical Si Nanowire Channel (2010) (53)
- Physical and electrical characteristics of high-κ gate dielectric Hf(1−x)LaxOy (2006) (52)
- Pulsed laser heating of silicon: The coupling of optical absorption and thermal conduction during irradiation (1983) (52)
- Ge n-MOSFETs on lightly doped substrates with high-/spl kappa/ dielectric and TaN gate (2006) (52)
- Novel Silicon-Carbon (Si:C) Schottky Barrier Enhancement Layer for Dark-Current Suppression in Ge-on-SOI MSM Photodetectors (2008) (51)
- Study of the composition of thin dielectrics grown on Si in a pure N2O ambient (1991) (51)
- Performance and reliability of ultra thin CVD HfO/sub 2/ gate dielectrics with dual poly-Si gate electrodes (2001) (51)
- Novel silicon nanohemisphere-array solar cells with enhanced performance. (2011) (51)
- A dual-metal gate integration process for CMOS with sub-1-nm EOT HfO/sub 2/ by using HfN replacement gate (2004) (51)
- Analytic model of endurance degradation and its practical applications for operation scheme optimization in metal oxide based RRAM (2013) (51)
- Effect of Interface Traps and Oxide Charge on Drain Current Degradation in Tunneling Field-Effect Transistors (2010) (50)
- Low Thermal Budget Monolithic Integration of Evanescent-Coupled Ge-on-SOI Photodetector on Si CMOS Platform (2010) (50)
- Random Telegraph Signal Noise in Gate-All-Around Si-FinFET With Ultranarrow Body (2006) (50)
- Growth kinetics of ultrathin SiO2 films fabricated by rapid thermal oxidation of Si substrates in N2O (1991) (50)
- Intense photoluminescence from laterally anodized porous Si (1991) (50)
- Evanescent-Coupled Ge p-i-n Photodetectors on Si-Waveguide With SEG-Ge and Comparative Study of Lateral and Vertical p-i-n Configurations (2008) (50)
- Electrical characteristics and suppressed boron penetration behavior of thermally stable HfTaO gate dielectrics with polycrystalline-silicon gate (2004) (49)
- Wearable Triboelectric/Aluminum Nitride Nano‐Energy‐Nano‐System with Self‐Sustainable Photonic Modulation and Continuous Force Sensing (2020) (49)
- An integrated low phase noise radiation-pressure-driven optomechanical oscillator chipset (2014) (49)
- Thermally robust HfN metal as a promising gate electrode for advanced MOS device applications (2004) (49)
- Mesoscopic chaos mediated by Drude electron-hole plasma in silicon optomechanical oscillators (2016) (49)
- Performance breakthrough in 8 nm gate length Gate-All-Around nanowire transistors using metallic nanowire contacts (2008) (48)
- Low-Voltage and High-Responsivity Germanium Bipolar Phototransistor for Optical Detections in the Near-Infrared Regime (2008) (48)
- Thermally stable CVD HfO/sub x/N/sub y/ advanced gate dielectrics with poly-Si gate electrode (2002) (48)
- Components for silicon plasmonic nanocircuits based on horizontal Cu-SiO₂-Si-SiO₂-Cu nanoplasmonic waveguides. (2012) (47)
- Formation of Ge nanocrystals in HfAlO high-k dielectric and application in memory device (2004) (47)
- Si nanocone array optimization on crystalline Si thin films for solar energy harvesting (2010) (47)
- Decoupling the Fermi-level pinning effect and intrinsic limitations on p-type effective work function metal electrodes (2008) (47)
- Globally stable microresonator Turing pattern formation for coherent high-power THz radiation on-chip (2016) (47)
- Micromachined switchable metamaterial with dual resonance (2012) (47)
- Selective tungsten silicide formation by ion‐beam mixing and rapid thermal annealing (1985) (46)
- Wafer level embedding technology for 3D wafer level embedded package (2009) (46)
- Very low defects and high performance Ge-on-insulator p-MOSFETs with Al/sub 2/O/sub 3/ gate dielectrics (2003) (46)
- Two-dimensional analytical modeling of threshold voltages of short-channel MOSFET's (1984) (46)
- Dual-metal gate technology for deep-submicron CMOS transistors (2000) (46)
- Nano-opto-mechanical actuator driven by gradient optical force (2012) (46)
- Investigation of Low-Frequency Noise in Silicon Nanowire MOSFETs in the Subthreshold Region (2009) (45)
- An Efficient Triple-Tandem Polymer Solar Cell (2009) (45)
- Silicon Mach-Zehnder modulator of extinction ratio beyond 10dB at 10.0–12.5Gbps (2011) (45)
- Performance of ultracompact copper-capped silicon hybrid plasmonic waveguide-ring resonators at telecom wavelengths. (2012) (45)
- Theoretical investigation of silicide Schottky barrier detector integrated in horizontal metal-insulator-silicon-insulator-metal nanoplasmonic slot waveguide. (2011) (45)
- High-field breakdown in thin oxides grown in N/sub 2/O ambient (1993) (44)
- Surface plasmon enhanced responsivity in a waveguided germanium metal-semiconductor-metal photodetector (2010) (44)
- The use of ultrathin reoxidized nitrided gate oxide for suppression of boron penetration in BF/sub 2//sup +/-implanted polysilicon gated p-MOSFETs (1991) (44)
- Interface trap passivation effect in NBTI measurement for p-MOSFET with SiON gate dielectric (2005) (44)
- Phase modulation in horizontal metal-insulator-silicon-insulator-metal plasmonic waveguides. (2013) (43)
- Improved reliability characteristics of submicrometer nMOSFETs with oxynitride gate dielectric prepared by rapid thermal oxidation in N/sub 2/O (1991) (43)
- MOS characteristics of NH/sub 3/-nitrided N/sub 2/O-grown oxides (1993) (43)
- Metal-germanide Schottky Source/Drain transistor on Germanium substrate for future CMOS technology (2006) (42)
- Selective tuning of high-Q silicon photonic crystal nanocavities via laser-assisted local oxidation. (2011) (42)
- Three Dimensional Stress Mapping of Silicon Surrounded by Copper Filled through Silicon Vias Using Polychromator-Based Multi-Wavelength Micro Raman Spectroscopy (2010) (42)
- Arbitrary and Independent Polarization Control In Situ via a Single Metasurface (2018) (41)
- Fast DNBTI components in p-MOSFET with SiON dielectric (2005) (41)
- Highly suppressed boron penetration in NO-nitrided SiO/sub 2/ for p/sup +/-polysilicon gated MOS device applications (1995) (41)
- Si-nanowire CMOS inverter logic fabricated using gate-all-around (GAA) devices and top-down approach (2008) (41)
- Observation of Metal-Layer Stress on Si Nanowires in Gate-All-Around High- $\kappa$/Metal-Gate Device Structures (2007) (41)
- Observation of spontaneous Raman scattering in silicon slow-light photonic crystal waveguides (2008) (41)
- Oxidation of Si(100) in nitric oxide at low pressures: An x-ray photoelectron spectroscopy study (1997) (40)
- 25 GHz operation of silicon optical modulator with projection MOS structure (2010) (40)
- Oxynitride gate dielectrics for p/sup +/-polysilicon gate MOS devices (1993) (40)
- Epitaxially grown n-ZnO/MgO/TiN/n(+)-Si(111) heterostructured light-emitting diode (2008) (39)
- A novel method to predict die shift during compression molding in embedded wafer level package (2009) (39)
- Application of piezoresistive stress sensors in ultra thin device handling and characterization (2009) (39)
- RF passive devices on Si with excellent performance close to ideal devices designed by electro-magnetic simulation (2003) (39)
- Inversion-Mode Self-Aligned $\hbox{In}_{0.53}\hbox{Ga}_{0.47}\hbox{As}$ N-Channel Metal-Oxide-Semiconductor Field-Effect Transistor With HfAlO Gate Dielectric and TaN Metal Gate (2008) (39)
- Waveguide-integrated near-infrared detector with self-assembled metal silicide nanoparticles embedded in a silicon p-n junction (2012) (39)
- Propagation losses in undoped and n-doped polycrystalline silicon wire waveguides. (2009) (39)
- Design High-Efficiency Si Nanopillar-Array-Textured Thin-Film Solar Cell (2010) (39)
- Silicon Homoepitaxy by Rapid Thermal Processing Chemical Vapor Deposition (RTPCVD)—A Review (1991) (38)
- The Role of Ti Capping Layer in HfOx-Based RRAM Devices (2014) (38)
- Vertically scaled MOSFET gate stacks and junctions: How far are we likely to go? (2002) (38)
- Oxide-based RRAM: Physical based retention projection (2010) (38)
- Improving coupling efficiency of fiber-waveguide coupling with a double-tip coupler. (2008) (38)
- Influence of fluorine preamorphization on the diffusion and activation of low‐energy implanted boron during rapid thermal annealing (1994) (38)
- Low-Cost and High-Speed SOI Waveguide-Based Silicide Schottky-Barrier MSM Photodetectors for Broadband Optical Communications (2008) (38)
- ALD(HfO2)x(Al2O3)1-x high-k gate dielectrics for advanced MOS devices application (2003) (38)
- Electrical tracing-assisted dual-microring label‑free optical bio/chemical sensors. (2012) (37)
- Effects of alloying and localized electronic states on the resonant Raman spectra of Zn1−xMgxO nanocrystals (2007) (37)
- High-Performance Waveguided Ge-on-SOI Metal–Semiconductor–Metal Photodetectors With Novel Silicon–Carbon (Si : C) Schottky Barrier Enhancement Layer (2008) (37)
- Investigation of performance limits of germanium double-gated MOSFETs (2003) (37)
- Memory performance of a thin-film device based on a conjugated copolymer containing fluorene and chelated europium complex (2006) (37)
- Wavelength-Flattened Directional Coupler Based Mid-Infrared Chemical Sensor Using Bragg Wavelength in Subwavelength Grating Structure (2018) (37)
- Impact of field-enhanced band-traps-band tunneling on the dark current generation in germanium p-i-n photodetector (2009) (37)
- Effects of H and O passivation on photoluminescence from anodically oxidized porous Si (1993) (37)
- Low-Temperature Transport Characteristics and Quantum-Confinement Effects in Gate-All-Around Si-Nanowire N-MOSFET (2007) (36)
- Fully Silicided NiSi and Germanided NiGe Dual Gates on SiO 2/Si and Al 2O 3/Ge-On-Insulator MOSFETs (2003) (36)
- Silicon waveguide based TE mode converter (2010) (36)
- Three-Layer laminated metal gate electrodes with tunable work functions for CMOS applications (2005) (36)
- Robust high-quality HfN-HfO/sub 2/ gate stack for advanced MOS device applications (2004) (35)
- WORM-Type Memory Device Based on a Conjugated Copolymer Containing Europium Complex in the Main Chain (2006) (35)
- Monolithic Integration of a Multiplexer/Demultiplexer With a Thermo-Optic VOA Array on an SOI Platform (2009) (35)
- Thickness and Compositional Nonuniformities of Ultrathin Oxides Grown by Rapid Thermal Oxidation of Silicon in N 2 O (1991) (35)
- On Oxygen Deficiency and Fast Transient Charge-Trapping Effects in High-$k$ Dielectrics (2006) (35)
- Electrical properties of amorphous high-/spl kappa/ HfTaTiO gate dielectric with dielectric constants of 40-60 (2005) (35)
- Three Dimensionally Stacked SiGe Nanowire Array and Gate-All-Around p-MOSFETs (2006) (35)
- Vertical-Si-Nanowire-Based Nonvolatile Memory Devices With Improved Performance and Reduced Process Complexity (2011) (35)
- Electrical properties and reliability of MOSFET's with rapid thermal NO-nitrided SiO/sub 2/ gate dielectrics (1995) (34)
- Fabrication Aspects of Germanium on Insulator from Sputtered Ge on Si-Substrates (2006) (34)
- Vertical silicon nanowire platform for low power electronics and clean energy applications (2012) (34)
- Thermally stable CVD HfOxNy advanced gate dielectrics with poly-Si gate electrode (2002) (34)
- Enhanced photoresponsivity in graphene-silicon slow-light photonic crystal waveguides (2016) (34)
- Enhanced thermal stability of nickel germanide on thin epitaxial germanium by adding an ultrathin titanium layer (2007) (34)
- Coupled Resonances in Multiple Silicon Photonic Crystal Cavities in All-Optical Solid-State Analogy to Electromagnetically Induced Transparency (2010) (34)
- DQPSK/QPSK modulation at 40–60 Gb/s using low-loss nested silicon Mach-Zehnder modulator (2013) (34)
- Low-loss partial rib polarization rotator consisting only of silicon core and silica cladding. (2015) (33)
- Hafnium oxide gate stack prepared by in situ rapid thermal chemical vapor deposition process for advanced gate dielectrics (2002) (33)
- Annularly Grooved Diaphragm Pressure Sensor With Embedded Silicon Nanowires for Low Pressure Application (2014) (33)
- Numerical investigation on the junctionless nanowire FET (2011) (33)
- Observations of temporal group delays in slow-light multiple coupled photonic crystal cavities (2010) (32)
- Low-Voltage High-Speed (18 GHz/1 V) Evanescent-Coupled Thin-Film-Ge Lateral PIN Photodetectors Integrated on Si Waveguide (2008) (32)
- Mechanism of positive-bias temperature instability in sub-1-nm TaN/HfN/HfO/sub 2/ gate stack with low preexisting traps (2005) (32)
- Comparison of the chemical structure and composition between N2O oxides and reoxidized NH3‐nitrided oxides (1994) (32)
- Negative differential resistance and electrical bistability in nanocrystal organic memory devices (2006) (32)
- Impact of surface roughness on silicon and germanium ultra-thin-body MOSFETs (2004) (32)
- Quantum tunneling and scalability of HfO2 and HfAlO gate stacks (2002) (31)
- Silicon epitaxial growth by rapid thermal processing chemical vapor deposition (1989) (31)
- High quality ultrathin gate dielectrics formation by thermal oxidation of Si in N2O (1991) (31)
- Simultaneous formation of silicide ohmic contacts and shallow p+-n junctions by ion-beam mixing and rapid thermal annealing (1985) (31)
- Transmission electron microscopy study of chemically etched porous Si (1993) (31)
- Low temperature MOSFET technology with Schottky barrier source/drain, high-K gate dielectric and metal gate electrode (2004) (31)
- Current–voltage characteristics of Schottky barriers with barrier heights larger than the semiconductor band gap: The case of NiGe∕n-(001)Ge contact (2005) (31)
- Chemical vapor deposition of ultrathin Ta2O5 films using Ta[N(CH3)2]5 (1998) (31)
- 11-Gb/s 80-km transmission performance of zero-chirp silicon Mach-Zehnder modulator. (2012) (31)
- Ultrathin Ta2O5 film growth by chemical vapor deposition of Ta(N(CH3)2)5 and O2 on bare and SiOxNy-passivated Si(100) for gate dielectric applications (1998) (31)
- Nanowire FETs for low power CMOS applications featuring novel gate-all-around single metal FUSI gates with dual Φm and VT tune-ability (2008) (30)
- Electrical switching and transport in the Si/organic monolayer/Au and Si/organic bilayer/Al devices (2006) (30)
- Characterization and reliability of dual high-k gate dielectric stack (poly-Si-HfO2-SiO2) prepared by in situ RTCVD process for system-on-chip applications (2003) (30)
- Voltage and temperature dependence of capacitance of high-k HfO/sub 2/ MIM capacitors: a unified understanding and prediction (2003) (30)
- Highly reliable, high-C DRAM storage capacitors with CVD TA/sub 2/O/sub 5/ films on rugged polysilicon (1993) (30)
- Fully silicided NiSi and germanided NiGe dual gates on SiO/sub 2//Si and Al/sub 2/O/sub 3//Ge-on-insulator MOSFETs (2003) (30)
- Refractory metal silicide formation by ion beam mixing and rapid thermal annealing (1985) (29)
- Thermo-Optical Enhanced Silicon Wire Interleavers (2008) (29)
- Gate-all-around Si-nanowire CMOS inverter logic fabricated using top-down approach (2007) (29)
- Realization of n-Zn1−xMgxO∕i-ZnO∕SiOx∕n+-Si heterostructured n-i-n light-emitting diodes by low-cost ultrasonic spray pyrolysis (2007) (29)
- Novel NiGe MSM Photodetector Featuring Asymmetrical Schottky Barriers Using Sulfur Co-Implantation and Segregation (2008) (29)
- Electron mobility in Ge and strained-Si channel ultrathin-body metal-oxide semi conductor field-effect transistors (2004) (29)
- Effective thermo-optical enhanced cross-ring resonator MZI interleavers on SOI. (2008) (28)
- Study of the SiO2/Si interface endurance property during rapid thermal nitridation and reoxidation processing (1989) (28)
- B-doping of vapour–liquid–solid grown Au-catalysed and Al-catalysed Si nanowires: effects of B2H6 gas during Si nanowire growth and B-doping by a post-synthesis in situ plasma process (2007) (28)
- Pt-Germanide Schottky source/drain Germanium p-MOSFET with HfO/sub 2/ gate dielectric and TaN gate electrode (2006) (28)
- Experimental Investigation of a Cavity-Mode Resonator Using a Micromachined Two-Dimensional Silicon Phononic Crystal in a Square Lattice (2011) (28)
- Performance and reliability assessment of dual-gate CMOS devices with gate oxide grown on nitrogen implanted Si substrates (1997) (28)
- Study of thermal oxidation and nitrogen annealing of luminescent porous silicon (1994) (28)
- Effect of rapid thermal reoxidation on the electrical properties of rapid thermally nitrided thin-gate oxides (1992) (28)
- Impact of Gate Electrodes on $\hbox{1}/f$ Noise of Gate-All-Around Silicon Nanowire Transistors (2009) (28)
- Realizing and and or Functions With Single Vertical-Slit Field-Effect Transistor (2012) (28)
- Dual Metal Gates with Band-Edge Work Functions on Novel HfLaO High-K Gate Dielectric (2006) (28)
- Endurance Degradation in Metal Oxide-Based Resistive Memory Induced by Oxygen Ion Loss Effect (2013) (28)
- Si Nanopillar Array Surface-Textured Thin-Film Solar Cell With Radial p-n Junction (2011) (27)
- Silicon Waveguide Integrated Germanium JFET Photodetector With Improved Speed Performance (2011) (27)
- Aluminum nitride on insulator (AlNOI) platform for mid-infrared photonics. (2019) (27)
- Anomalous transient diffusion of boron implanted into preamorphized Si during rapid thermal annealing (1989) (27)
- Silicon nitride based plasmonic components for CMOS back-end-of-line integration. (2013) (26)
- Vertical-Si-Nanowire SONOS Memory for Ultrahigh-Density Application (2009) (26)
- Multi-channel silicon photonic receiver based on ring-resonators. (2010) (26)
- Radio frequency regenerative oscillations in monolithic high-Q/V heterostructured photonic crystal cavities (2014) (26)
- Time-dependent dielectric breakdown characteristics of N/sub 2/O oxide under dynamic stressing (1992) (26)
- Thermal response of Ru electrodes in contact with SiO2 and Hf-based high-k gate dielectrics (2005) (26)
- Low-loss high-speed silicon IQ modulator for QPSK/DQPSK in C and L bands. (2014) (26)
- Visible photoluminescence from porous Si formed by annealing and chemically etching amorphous Si (1992) (26)
- 128-Gb/s DP-QPSK using low-loss monolithic silicon IQ modulator integrated with partial-rib polarization rotator (2014) (26)
- Reduction of charge-transport characteristics of SiGe dot floating gate memory device with ZrO/sub 2/ tunneling oxide (2003) (26)
- Improved carrier injection in gate-all-around Schottky barrier silicon nanowire field-effect transistors (2008) (26)
- Proposed silicon wire interleaver structure. (2008) (26)
- Recent developments in ultra thin oxynitride gate dielectrics (1995) (25)
- Monte Carlo simulation of p- and n-channel GOI MOSFETs by solving the quantum Boltzmann equation (2005) (25)
- Dynamic Bias-Temperature Instability in Ultrathin SiO2 and HfO2 Metal-Oxide-Semiconductor Field Effect Transistors and Its Impact on Device Lifetime (2004) (25)
- HfO2 and Lanthanide-doped HfO2 MIM Capacitors for RF/Mixed IC Applications (2003) (25)
- Fabrication of self-sealed circular nano/microfluidic channels in glass substrates (2007) (25)
- Experimental Demonstration of Vertical ${\rm Cu}\hbox{-}{\rm SiO}_{2}\hbox{-}{\rm Si}$ Hybrid Plasmonic Waveguide Components on an SOI Platform (2012) (25)
- Ultrasensitive electrical detection of nucleic acids by hematin catalysed silver nanoparticle formation in sub-microgapped biosensors. (2008) (25)
- Photoluminescence, Structure, and Composition of Laterally Anodized Porous Si (1992) (25)
- Fully CMOS-Compatible 1T1R Integration of Vertical Nanopillar GAA Transistor and Oxide-Based RRAM Cell for High-Density Nonvolatile Memory Application (2013) (25)
- Vertically Stacked and Independently Controlled Twin-Gate MOSFETs on a Single Si Nanowire (2011) (25)
- Formation of SiGe nanocrystals in HfO2 using in situ chemical vapor deposition for memory applications (2004) (24)
- High quality thin gate oxide prepared by annealing low‐pressure chemical vapor deposited SiO2 in N2O (1991) (24)
- Thin-film thermo-optic Ge(x)Si(1-x) Mach-Zehnder interferometer. (1992) (24)
- Laser heating of semiconductors—effect of carrier diffusion in nonlinear dynamic heat transport process (1981) (24)
- Modeling of Stress-Retarded Thermal Oxidation of Nonplanar Silicon Structures for Realization of Nanoscale Devices (2010) (24)
- Bendability of single-crystal Si MOSFETs investigated on flexible substrate (2006) (24)
- Ge-Rich (70%) SiGe Nanowire MOSFET Fabricated Using Pattern-Dependent Ge-Condensation Technique (2008) (24)
- Red light emission from controlled multilayer stack comprising of thin amorphous silicon and silicon nitride layers (2007) (24)
- Nanoplasmonic power splitters based on the horizontal nanoplasmonic slot waveguide (2011) (24)
- Residual Stress Analysis in Thin Device Wafer Using Piezoresistive Stress Sensor (2011) (24)
- Thermo-optical tunable planar ridge microdisk resonator in silicon-on-insulator. (2011) (24)
- A Chip‐Scale Oscillation‐Mode Optomechanical Inertial Sensor Near the Thermodynamical Limits (2020) (24)
- Reliability characteristics of metal‐oxide‐semiconductor capacitors with chemical vapor deposited Ta2O5 gate dielectrics (1993) (24)
- Thin fluorinated gate dielectrics grown by rapid thermal processing in O/sub 2/ with diluted NF/sub 3/ (1992) (23)
- Boosting Short-Circuit Current With Rationally Designed Periodic Si Nanopillar Surface Texturing for Solar Cells (2011) (23)
- High-performance inductors on plastic substrate (2005) (23)
- A tunable polarization diversity silicon photonics filter. (2011) (23)
- Roles of electron trapping and interface state generation on gate-induced drain leakage current in p-MOSFETs (1991) (23)
- Study on the synthesis of high quality single crystalline Si1−xGex nanowire and its transport properties (2007) (23)
- Ultra Thin (<20@ CVD Si3N4 Gate Dielectric for Deep-Sub-Micron CMOS Devices (1998) (23)
- Analysis of charge trapping and breakdown mechanism in high-k dielectrics with metal gate electrode using carrier separation (2003) (23)
- Photoinduced luminescence enhancement from anodically oxidized porous Si (1993) (23)
- SiGeO layer formation mechanism at the SiGe/oxide interfaces during Ge condensation (2007) (23)
- The electrical properties of HfO/sub 2/ dielectric on germanium and the substrate doping effect (2006) (23)
- Narrow-band band-pass filters on silicon substrates at 30 GHz (2004) (23)
- Polycrystalline Si Nanowire SONOS Nonvolatile Memory Cell Fabricated on a Gate-All-Around (GAA) Channel Architecture (2009) (23)
- Waveguided Ge/Si Avalanche Photodiode With Separate Vertical SEG-Ge Absorption, Lateral Si Charge, and Multiplication Configuration (2009) (23)
- A small OCA on a 1/spl times/0.5-mm/sup 2/ 2.45-GHz RFID Tag-design and integration based on a CMOS-compatible manufacturing technology (2006) (23)
- A MEMS tunable metamaterial filter (2010) (23)
- Metal‐oxide‐semiconductor characteristics of rapid thermal nitrided thin oxides (1988) (23)
- Evidence and understanding of ALD HfO/sub 2/-Al/sub 2/O/sub 3/ laminate MIM capacitors outperforming sandwich counterparts (2004) (22)
- Study on interfacial properties of InGaAs and GaAs integrated with chemical-vapor-deposited high-k gate dielectrics using x-ray photoelectron spectroscopy (2008) (22)
- HfTiAlO dielectric as an alternative high-k gate dielectric for the next generation of complementary metal-oxide-semiconductor devices (2007) (22)
- Complementary Metal-Oxide-Semiconductor Compatible Al-Catalyzed Silicon Nanowires Growth and the Effects of Surface Oxidation of Al Seeding Layer (2007) (22)
- Electrical characterization of Ti‐silicided shallow junctions formed by ion‐beam mixing and rapid thermal annealing (1986) (22)
- Laminated metal gate electrode with tunable work function for advanced CMOS (2004) (22)
- CMOS-compatible all-Si metasurface polarizing bandpass filters on 12-inch wafers. (2019) (22)
- Reliability projection and polarity dependence of TDDB for ultra thin CVD HfO/sub 2/ gate dielectrics (2002) (22)
- Surface nanostructure optimization for solar energy harvesting in Si thin film based solar cells (2009) (22)
- Experimental Demonstration of Horizontal Nanoplasmonic Slot Waveguide-Ring Resonators With Submicrometer Radius (2011) (21)
- Characterization of Piezoresistive-Si-Nanowire-Based Pressure Sensors by Dynamic Cycling Test With Extralarge Compressive Strain (2012) (21)
- Dark-Current Suppression in Metal–Germanium–Metal Photodetectors Through Dopant-Segregation in NiGe—Schottky Barrier (2008) (21)
- 3D GOI CMOSFETs with novel IrO/sub 2/(Hf) dual gates and high-k dielectric on 1P6M-0.18 /spl mu/m-CMOS (2004) (21)
- High mobility and excellent electrical stability of MOSFETs using a novel HfTaO gate dielectric (2004) (21)
- Radiation hardness of MOSFETs with N/sub 2/O-nitrided gate oxides (1993) (21)
- Rectangular coils optimization for wireless power transmission (2012) (21)
- High-field-induced leakage in ultrathin N/sub 2/O oxides (1993) (21)
- P-channel MOSFET's with ultrathin N/sub 2/O gate oxides (1992) (21)
- Suppression of stress‐induced leakage current in ultrathin N2O oxides (1992) (21)
- Ultra thin high quality stack nitride/oxide gate dielectrics prepared by in-situ rapid thermal N 2 O oxidation of NH 3 -nitrided Si (1999) (20)
- Thermally stable fully silicided Hf-silicide metal-gate electrode (2004) (20)
- Thermally robust phosphorous nitride interface passivation for InGaAs self-aligned gate-first n-MOSFET integrated with high-k dielectric (2009) (20)
- Relaxed GexSi1−x films grown by rapid thermal processing chemical vapor deposition (1990) (20)
- Resonance Switchable Metamaterials Using MEMS Fabrications (2013) (20)
- Real-time transition dynamics and stability of chip-scale dispersion-managed frequency microcombs (2020) (20)
- Fundamental characteristics and high-speed applications of carrier-depletion silicon Mach-Zehnder modulators (2014) (20)
- Engineering of voltage nonlinearity in high-k MIM capacitor for analog/mixed-signal ICs (2004) (20)
- Omega-Gate p-MOSFET With Nanowirelike SiGe/Si Core/Shell Channel (2009) (20)
- Ultra thin (<3 nm) high quality nitride/oxide stack gate dielectrics fabricated by in-situ rapid thermal processing (1997) (20)
- The photoluminescence spectra of porous silicon boiled in water (1992) (19)
- Low-cost and high-gain silicide Schottky-barrier collector phototransistor integrated on Si waveguide for infrared detection (2008) (19)
- Low-Frequency Noise in Oxide-Based $(\hbox{TiN}/ \hbox{HfO}_{x}/\hbox{Pt})$ Resistive Random Access Memory Cells (2012) (19)
- Extended defect evolution in boron‐implanted Si during rapid thermal annealing and its effects on the anomalous boron diffusion (1990) (19)
- Annealing ultra thin Ta2O5 films deposited on bare and nitrogen passivated Si(100) (1999) (19)
- Excellent immunity of GIDL to hot-electron stress in reoxidized nitrided gate oxide MOSFET's (1992) (19)
- Effects of growth temperature on TDDB characteristics of N/sub 2/O-grown oxides (1992) (19)
- Effect of the inversion layer on the electrical characterization of Pt germanide/n-Ge(001) Schottky contacts (2006) (19)
- Silicon photonics technologies for monolithic electronic-photonic integrated circuit (EPIC) applications: Current progress and future outlook (2009) (19)
- Ultrathin HfO 2 "EOT 0.75 nm… Gate Stack with TaN/HfN Electrodes Fabricated Using a High-Temperature Process (2005) (19)
- Effective Modulation of Quadratic Voltage Coefficient of Capacitance in MIM Capacitors Using $\hbox{Sm}_{2}\hbox{O}_{3}/\hbox{SiO}_{2}$ Dielectric Stack (2009) (19)
- Effects of post-deposition annealing on the electrical properties and reliability of ultrathin chemical vapor deposited Ta/sub 2/O/sub 5/ films (1994) (19)
- Thickness optimization of the TiN metal gate with polysilicon-capping layer on Hf-based high-k dielectric (2006) (19)
- Scaling computation with silicon photonics (2014) (19)
- Compact Low Loss Mid-Infrared Wavelength-Flattened Directional Coupler (WFDC) for Arbitrary Power Splitting Ratio Enabled by Rib Waveguide Dispersion Engineering (2018) (19)
- Highly Compact (4F2) and Well Behaved Nano-Pillar Transistor Controlled Resistive Switching Cell for Neuromorphic System Application (2014) (19)
- A physical model for boron penetration through an oxynitride gate dielectric prepared by rapid thermal processing in N2O (1991) (19)
- Physical model for frequency-dependent dynamic charge trapping in metal-oxide-semiconductor field effect transistors with HfO2 gate dielectric (2005) (18)
- Radiation hardened metal-oxide-semiconductor devices with gate dielectrics grown by rapid thermal processing in O2 with diluted NF3 (1991) (18)
- MOS devices with high quality ultra thin CVD ZrO/sub 2/ gate dielectrics and self-aligned TaN and TaN/poly-Si gate electrodes (2001) (18)
- Charge trapping properties in thin oxynitride gate dielectrics prepared by rapid thermal processing (1990) (18)
- Thermally robust high quality HfN/HfO/sub 2/ gate stack for advanced CMOS devices (2003) (18)
- Competitive adsorption and two-site occupation effects in metal-organic chemical vapor deposition of ZnO (2007) (18)
- Ge diffusion and solid phase epitaxy growth to form Si1 − xGex/Si and Ge on insulator structure (2006) (18)
- Experimental Studies of Reliability Issues in Tunneling Field-Effect Transistors (2010) (18)
- Rapid thermal annealing characteristics of As+‐ and BF+2 ‐implanted Si (1984) (17)
- Highly reliable SiO/sub 2//Si/sub 3/N/sub 4/ stacked dielectric on rapid-thermal-nitrided rugged polysilicon for high-density DRAM's (1992) (17)
- Effects of vacuum and inert gas annealing of ultrathin tantalum pentoxide films on Si(100) (1999) (17)
- High-performance microwave coplanar bandpass and bandstop filters on Si substrates (2003) (17)
- SiGe amorphization during Ge condensation in silicon germanium on insulator (2006) (17)
- Time-dependent dielectric breakdown in poly-Si CVD HfO/sub 2/ gate stack (2002) (17)
- Work function tuning of metal nitride electrodes for advanced CMOS devices (2006) (17)
- Roles of oxide trapped charge and generated interface states on GIDL under hot-carrier stressing (1990) (17)
- Current Conduction Model for Oxide-Based Resistive Random Access Memory Verified by Low-Frequency Noise Analysis (2013) (17)
- Effects of post‐nitridation anneals on radiation hardness in rapid thermal nitrided gate oxides (1989) (17)
- Trapping/De-Trapping Gate Bias Dependence of Hf-Silicate Dielectrics with Poly and TiN Gate Electrode (2004) (17)
- Deterministic integrated tuning of multicavity resonances and phase for slow-light in coupled photonic crystal cavities (2010) (17)
- Self-aligned ultra thin HfO/sub 2/ CMOS transistors with high quality CVD TaN gate electrode (2002) (17)
- Effect of cladding layer and subsequent heat treatment on hydrogenated amorphous silicon waveguides. (2012) (17)
- Modeling of gate-all-around charge trapping SONOS memory cells (2010) (17)
- High permittivity quaternary metal (HfTaTiOx) oxide layer as an alternative high-κ gate dielectric (2006) (16)
- RF MEMS switch integrated on printed circuit board with metallic membrane first sequence and transferring (2006) (16)
- Micromechanical Resonators Based on Silicon Two-Dimensional Phononic Crystals of Square Lattice (2012) (16)
- Nickel Salicided Source/Drain Extensions for Performance Improvement in Ultrascaled (Sub 10 nm) Si-Nanowire Transistors (2009) (16)
- Impact of Local Strain From Selective Epitaxial Germanium With Thin Si/SiGe Buffer on High-Performance p-i-n Photodetectors With a Low Thermal Budget (2007) (16)
- A monolithic 9 degree of freedom (DOF) capacitive inertial MEMS platform (2014) (16)
- Thermal annealing study of the mid-infrared aluminum nitride on insulator (AlNOI) photonics platform. (2019) (16)
- An integratable dual metal gate CMOS process using an ultrathin aluminum nitride buffer layer (2003) (16)
- Pulsed laser annealing of single-crystal and ion-implanted semiconductors (1982) (16)
- Near-infrared Hong-Ou-Mandel interference on a silicon quantum photonic chip. (2012) (16)
- High-quality MOSFETs with ultrathin LPCVD gate SiO/sub 2/ (1992) (16)
- Trap Layer Engineered Gate-All-Around Vertically Stacked Twin Si -Nanowire Nonvolatile Memory (2007) (16)
- Temperature Dependence of Carrier Transport of a Silicon Nanowire Schottky-Barrier Field-Effect Transistor (2008) (16)
- Full Silicidation of Silicon Gate Electrodes Using Nickel-Terbium Alloy for MOSFET Applications (2006) (16)
- Effects of Annealing and Ar Ion Bombardment on the Removal of HfO2 Gate Dielectric (2004) (16)
- A Single-Chip Integrated Spectrometer via Tunable Microring Resonator Array (2019) (15)
- Molybdenum metal gate MOS technology for post-SiO/sub 2/ gate dielectrics (2000) (15)
- Operating TSV in Stable Accumulation Capacitance Region by Utilizing $\hbox{Al}_{2}\hbox{O}_{3}$-Induced Negative Fixed Charge (2012) (15)
- Development of silicon electrode enhanced by carbon nanotube and gold nanoparticle composites on silicon neural probe fabricated with complementary metal-oxide-semiconductor process (2014) (15)
- Work function tuning of fully silicided NiSi metal gates using a TiN capping layer (2004) (15)
- Numerical and experimental study on silicon microresonators based on phononic crystal slabs with reduced central-hole radii (2013) (15)
- MOS characteristics of fluorinated gate dielectrics grown by rapid thermal processing in O/sub 2/ with diluted NF/sub 3/ (1990) (15)
- 200 mm wafer-scale epitaxial transfer of single crystal Si on glass by anodic bonding of silicon-on-insulator wafers (2005) (15)
- Characterization of Silicon Nanowire Embedded in a MEMS Diaphragm Structure Within Large Compressive Strain Range (2011) (15)
- Effect of Furnace Preanneal and Rapid Thermal Annealing on Arsenic‐Implanted Silicon (1985) (15)
- Theoretical investigation of ultracompact and athermal Si electro-optic modulator based on Cu-TiO2-Si hybrid plasmonic donut resonator. (2013) (15)
- A Silicon Platform With MEMS Active Alignment Function and Its Potential Application in Si-Photonics Packaging (2010) (15)
- Composite TaSi2/n+poly-Si formation by rapid thermal annealing (1984) (15)
- Chip-Based Measurement-Device-Independent Quantum Key Distribution Using Integrated Silicon Photonic Systems (2020) (15)
- MIM Capacitors Using Atomic-Layer-Deposited High- HfO Al O Dielectrics (2003) (15)
- Two-dimensional pn-junction delineation and individual dopant identification using scanning tunneling microscopy/spectroscopy (1998) (15)
- Rapid thermal annealing of co-sputtered tantalum silicide films (1984) (14)
- HfO/sub 2/ and lanthanide-doped HfO/sub 2/ MIM capacitors for RF/mixed IC applications (2003) (14)
- Microcantilever sensors with embedded piezoresistive transistor read-out: Design and characterization (2011) (14)
- Nanometric Precision Distance Metrology via Hybrid Spectrally Resolved and Homodyne Interferometry in a Single Soliton Frequency Microcomb. (2021) (14)
- Successful transferring of active transistors, rf-passive components and high density interconnect from bulk si to organic substrates (2005) (14)
- Suppression of lateral Ti silicide growth by ion beam mixing and rapid thermal annealing (1988) (14)
- Ultra-high order ring resonator system with sharp transmission peaks. (2010) (14)
- Optical Coupling Methods for Cost-Effective Polymer Optical Fiber Communication (2009) (14)
- Systems-oriented survey of noncontact temperature measurement techniques for rapid thermal processing (1991) (14)
- Physical and electrical properties of lanthanide-incorporated tantalum nitride for n-channel metal-oxide-semiconductor field-effect transistors (2005) (14)
- Work Function Tunability of Refractory Metal Nitrides by Lanthanum or Aluminum Doping for Advanced CMOS Devices (2007) (14)
- High quality ultrathin oxynitride gate dielectric prepared by rapid thermal processing in N2O (1990) (14)
- Toward Athermal Plasmonic Ring Resonators Based on Cu- ${\rm TiO}_{2}$-Si Hybrid Plasmonic Waveguide (2013) (14)
- Influence of RTA and LTA on the Optical Propagation Loss in Polycrystalline Silicon Wire Waveguides (2010) (14)
- Hole tunneling current through oxynitride/oxide stack and the stack optimization for p-MOSFETs (2002) (14)
- Improved electrical and reliability Characteristics of HfN--HfO/sub 2/-gated nMOSFET with 0.95-nm EOT fabricated using a gate-first Process (2005) (14)
- Tunable piezoresistance and noise in gate-all-around nanowire field-effect-transistor (2012) (14)
- Waveguide-integrated Ge/Si avalanche photodetector with 105GHz gain-bandwidth product (2010) (13)
- Study of the growth temperature dependence of performance and reliability of thin MOS gate oxides (1992) (13)
- Improvements on surface carrier mobility and electrical stability of MOSFETs using HfTaO gate dielectric (2004) (13)
- High quality CVD TaN gate electrode for sub-100 nm MOS devices (2001) (13)
- Stabilized chip-scale Kerr frequency comb via a high-Q reference photonic microresonator. (2016) (13)
- Analytical modeling of the subthreshold current in short-channel MOSFET's (1986) (13)
- Novel Monolithic Integration of RF-MEMS Switch with CMOS-IC on Organic Substrate for Compact RF System (2006) (13)
- TDDB and polarity-dependent reliability of high-quality, ultrathin CVD HfO/sub 2/ gate stack with TaN gate electrode (2004) (13)
- CMOS compatible Ge/Si core/shell nanowire gate-all-around pMOSFET integrated with HfO2/TaN gate stack (2009) (13)
- Nucleic Acid Extraction, Amplification, and Detection on Si-Based Microfluidic Platforms (2006) (13)
- GaAs p- and n-MOS devices integrated with novel passivation (plasma nitridation and AlN-surface passivation) techniques and ALD-HfO2/TaN gate stack (2006) (13)
- Photoluminescence study of anodized porous Si after HF vapor phase etching (1993) (13)
- HIGH-QUALITY OXYNITRIDE GATE DIELECTRICS PREPARED BY REOXIDATION OF NH3-NITRIDED SIO2 IN N2O AMBIENT (1995) (13)
- Thin stacked oxide/nitride/oxide dielectrics formation by in situ multiple reactive rapid thermal processing (1989) (13)
- A silicon-nanowire memory driven by optical gradient force induced bistability (2015) (13)
- CWDM planar concave grating multiplexer/demultiplexer and application in ROADM (2011) (13)
- Integrated in-band optical signal-to-noise ratio monitor implemented on SOI platform. (2012) (13)
- Time-dependent dielectric breakdown of chemical-vapour-deposited SiO/sub 2/ gate dielectrics (1989) (13)
- Influence of process parameters on the time‐dependent dielectric breakdown of rapid thermally nitrided and reoxidized nitrided thin SiO2 (1992) (13)
- Formation of high quality ultrathin oxide/nitride (ON) stacked capacitors by in situ multiple rapid thermal processing [DRAM cells] (1995) (13)
- Piezoresistive Sensing Performance of Junctionless Nanowire FET (2012) (13)
- Growth kinetics of oxides during furnace oxidation of Si in N2O ambient (1995) (13)
- Characterization and Modeling of Subfemtofarad Nanowire Capacitance Using the CBCM Technique (2009) (13)
- Evolution of silicon surface morphology during H2 annealing in a rapid thermal chemical vapor deposition system (1996) (12)
- High-Performance Poly-Si Vertical Nanowire Thin-Film Transistor and the Inverter Demonstration (2011) (12)
- Coherent Four-Wave Mixing on Hybrid Graphene-Silicon Photonic Crystals (2014) (12)
- Effects of rapid thermal processing on thermal oxides of silicon (1986) (12)
- Gate-bias-controlled sensitivity and SNR enhancement in a nanowire FET pressure sensor (2011) (12)
- The Viability of GeH4‐Based In Situ Clean for Low Temperature Silicon Epitaxial Growth (1996) (12)
- A Miniaturization Strategy for Harvesting Vibration Energy Utilizing Helmholtz Resonance and Vortex Shedding Effect (2014) (12)
- High density bump-less Cu-Cu bonding with enhanced quality achieved by pre-bonding temporary passivation for 3D wafer stacking (2011) (12)
- Valence band structure of ultrathin silicon and germanium channels in metal-oxide-semiconductor field-effect transistors (2005) (12)
- Scalability and Reliability Characteristics of CVD HfO2 Gate Dielectrics with HfN Electrodes for Advanced CMOS Applications (2007) (12)
- Cross-correlation frequency-resolved optical gating and dynamics of temporal solitons in silicon nanowire waveguides. (2013) (12)
- Specific structural factors influencing on reliability of CVD-HfO/sub 2/ (2002) (12)
- Anomalous capacitance‐voltage characteristics of BF2‐implanted and rapid thermal annealed p+‐polycrystalline silicon gate metal‐oxide‐semiconductor structures (1990) (12)
- Enhancement mode GaAs metal-oxide-semiconductor field-effect-transistor integrated with thin AlN surface passivation layer and silicon/phosphorus coimplanted source/drain (2009) (12)
- CMOS integration issues with high-k gate stack (2004) (12)
- Stable, self‐aligned TiNxOy/TiSi2 contact formation for submicron device applications (1987) (12)
- Sensorized guidewires with MEMS tri-axial force sensor for minimally invasive surgical applications (2010) (12)
- Low-voltage silicon Mach-Zehnder modulator operating at high temperatures without thermo-electric cooling (2016) (12)
- Dependence of Chemical Composition Ratio on Electrical Properties of HfO2–Al2O3 Gate Dielectric (2003) (11)
- Substituted aluminum metal gate on high-k dielectric for low work-function and Fermi-level pinning free (2004) (11)
- Fabrication of thick SiGe on insulator (Si0.2Ge0.8OI) by condensation of SiGe∕Si superlattice grown on silicon on insulator (2007) (11)
- A Two-Step Oxidation Mediated Condensation Process for Ultrathin High Ge Content SiGe Epitaxial Films on Insulator (2005) (11)
- Growth of germanium quantum dots on different dielectric substrates by chemical-vapor deposition (2001) (11)
- Comparison of neutral electron trap generation by hot-carrier stress in n-MOSFET's with oxide and oxynitride gate dielectrics (1992) (11)
- Thermally robust TaTb/sub x/N metal gate electrode for n-MOSFETs applications (2005) (11)
- Thickness uniformity and electrical properties of ultrathin gate oxides grown in N2O ambient by rapid thermal processing (1992) (11)
- Molybdenum gate HfO/sub 2/ CMOS FinFET technology (2004) (11)
- Shallow, silicided p+/n junction formation and dopant diffusion in SiO2/TiSi2/Si structure (1989) (11)
- An on-chip opto-mechanical accelerometer (2013) (11)
- Junctionless Vertical-Si-Nanowire-Channel-Based SONOS Memory With 2-Bit Storage per Cell (2011) (11)
- 200-mm wafer-scale transfer of 0.18-/spl mu/m dual-damascene Cu/SiO/sub 2/ interconnection system to plastic substrates (2005) (11)
- Microfluidic design for bio-sample delivery to silicon nanowire biosensor - a simulation study (2006) (11)
- Coherent satellites in multispectral regenerative frequency microcombs (2019) (11)
- Application of dopant segregation to metal-germanium-metal photodetectors and its dark current suppression mechanism (2008) (11)
- Germanium MOS: an evaluation from carrier quantization and tunneling current (2003) (11)
- Long retention and low voltage operation using IrO/sub 2/HfAlO/HfSiO/HfalO gate stack for memory application (2005) (11)
- High density RF MIM capacitors using high-/spl kappa/ AlTaO/sub x/ dielectrics (2003) (11)
- Effects of ion-beam mixing on the performance and reliability of devices with self-aligned silicide structure (1988) (11)
- Low-loss high-speed silicon Mach-Zehnder modulator for optical-fiber telecommunications (2013) (10)
- High-Q on-chip inductors using extremely thick silicon dioxide and copper-damascene technology (2008) (10)
- Selective epitaxial growth by rapid thermal processing (1990) (10)
- Improved hot-carrier immunity in CMOS analog device with N/sub 2/O-nitrided gate oxides (1992) (10)
- The Application of Ion Beam Mixing, Doped Silicide, and Rapid Thermal Processing to Self‐Aligned Silicide Technology (1990) (10)
- A stabilized 18 GHz chip-scale optical frequency comb at 2.8x10-16 relative inaccuracy (2015) (10)
- 10Gbps monolithic silicon FTTH transceiver without laser diode for a new PON configuration (2010) (10)
- Coupled-ring reflector in an external-cavity tunable laser (2015) (10)
- A high-C capacitor (20.4 fF/ mu m/sup 2/) with ultrathin CVD-Ta/sub 2/O/sub 5/ films deposited on rugged poly-Si for high density DRAMs (1992) (10)
- Submicron-Radius Plasmonic Racetrack Resonators in Metal-Dielectric-Si Hybrid Plasmonic Waveguides (2014) (10)
- Yb-doped Ni FUSI for the n-MOSFETs gate electrode application (2006) (10)
- Reduced carrier backscattering in heterojunction SiGe nanowire channels (2008) (10)
- A novel program-erasable high-k AlN capacitor with memory function (2004) (10)
- Demonstration of a-Si metalenses on a 12-inch glass wafer by CMOS-compatible technology (2019) (10)
- Rapid thermal oxidation of thin nitride/oxide stacked layer (1989) (10)
- Lanthanide-incorporated metal nitrides with tunable work function and good thermal stability for NMOS devices (2005) (10)
- Application of piezoresistive stress sensor in wafer bumping and drop impact test of embedded ultra thin device (2011) (10)
- Application of Piezoresistive Stress Sensor in Wafer Bumping and Drop Impact Test of Embedded Ultrathin Device (2012) (10)
- Boosting short circuit current with rationally designed periodic Si nanopillar surface texturing for thin film solar cell (2010) (10)
- Electrical properties of MOSFET's with N/sub 2/O-nitrided LPCVD SiO/sub 2/ gate dielectrics (1992) (10)
- Comparison of dielectric wear-out between oxides grown in O2 and N2O (1991) (10)
- Development of Silicon Probe With Acute Study on In Vivo Neural Recording and Implantation Behavior Monitored by Integrated Si-Nanowire Strain Sensors (2015) (10)
- A method of fabricating metal-insulator-metal (MIM) capacitor in Cu/low-k backend interconnection process for RF application (2006) (10)
- Molecular-absorption-induced thermal bistability in PECVD silicon nitride microring resonators. (2014) (10)
- Time Dependence of Phosphorus Diffusion and Dose Loss during Postimplantation Annealing at Low Temperatures (2002) (10)
- Gate-all-around MOSFETs: lateral ultra-narrow (/spl les/10 nm) fin as channel body (2005) (10)
- High performance ALD HfO/sub 2/-Al/sub 2/O/sub 3/ laminate MIM capacitors for RF and mixed signal IC applications (2003) (10)
- An absorptive filter using microfluidic switchable metamaterials (2011) (10)
- Modification of Molybdenum Gate Electrode Work Function via (La-, Al-Induced) Dipole Effect at High-$k/\hbox{SiO}_{2}$ Interface (2008) (10)
- Physical model for the diffusion of ion implanted boron and BF/sub 2/ during rapid thermal annealing (1992) (9)
- Evaluation of Stresses in Thin Device Wafer using Piezoresistive Stress Sensor (2008) (9)
- A Short-Channel SOI RF Power LDMOS Technology With$hboxTiSi_2$Salicide on Dual Sidewalls With Cutoff Frequency$f_T sim hbox19.3 hboxGHz$ (2006) (9)
- Boron segregation in As-implanted Si caused by electric field and transient enhanced diffusion (1998) (9)
- Nano-silicon-photonic Fourier Transform Infrared (FTIR) spectrometer-on-a-chip (2015) (9)
- Electrical characteristics and photocurrent spectral response of Si nanowires p-i-n junctions (2010) (9)
- Direct generation of 74-fs mode-locking from on-chip normal dispersion frequency combs (2014) (9)
- Self-Consistent SchrÖdinger–Poisson Simulations on Capacitance–Voltage Characteristics of Silicon Nanowire Gate-All-Around MOS Devices With Experimental Comparisons (2009) (9)
- Transconductance degradation and interface state generation in metal‐oxide‐semiconductor field‐effect transistors with oxynitride gate dielectrics under hot‐carrier stress (1990) (9)
- AC hot-carrier effects in MOSFETs with furnace N/sub 2/O-nitrided gate oxides (1992) (9)
- Advanced MOSFETs using HfTaON/SiO/sub 2/ gate dielectric and TaN metal gate with excellent performances for low standby power application (2005) (9)
- Improving gate-oxide reliability by TiN capping layer on NiSi FUSI metal gate (2005) (9)
- Silicon photonics technologies for monolithic electronic-photonic integrated circuit applications (2010) (9)
- Study of Rapid Thermal Precleaning for Si Epitaxial Growth (1992) (9)
- On the mechanism of ion-implanted As diffusion in relaxed SiGe (2004) (9)
- High-K gate dielectrics for sub-100 nm CMOS technology (2001) (9)
- Charge Trapping and TDDB Characteristics of Ultrathin MOCVD $\hbox{HfO}_{2}$ Gate Dielectric on Nitrided Germanium (2007) (9)
- Modeling of stress-retarded orientation-dependent oxidation: shape engineering of silicon nanowire channels (2009) (9)
- Work Function Tuning and Material Characteristics of Lanthanide-Incorporated Metal Nitride Gate Electrodes for NMOS Device Applications (2006) (9)
- Dual-Color Electroluminescence ($\lambda \approx \hbox{450}\ \hbox{nm}$ and 650–700 nm) From a Silicon-Based Light Source (2008) (9)
- (Invited) Silicon Photonics Technologies for Monolithic Electronic-Photonic Integrated Circuit (2010) (9)
- Investigation of work function adjustments by electric dipole formation at the gate/oxide interface in preimplanted NiSi fully silicided metal gates (2006) (8)
- Silicon Nanowire Array Bio-Sensor using Top-Down CMOS Technology (2007) (8)
- Bandgap engineering in Alq3- and NPB-based organic light-emitting diodes for efficient green, blue and white emission (2007) (8)
- Demonstration of memory string with stacked junction-less SONOS realized on vertical silicon nanowire (2011) (8)
- Study of Ta-barrier and pore sealing dielectric layer interaction for enhanced barrier performance of Cu/ultralow /spl kappa/(/spl kappa/<2.2) interconnects (2005) (8)
- Integrated high-/spl kappa/ (/spl kappa/ /spl sim/19) MIM capacitor with Cu/low-/spl kappa/ interconnects for RF application (2005) (8)
- Selective deposition of in situ doped polycrystalline silicon by rapid thermal processing chemical vapor deposition (1989) (8)
- Fluorinated thin SiO2 grown by rapid thermal processing (1990) (8)
- Shallow junction formation by dopant diffusion from in situ doped polycrystalline silicon chemically vapor deposited in a rapid thermal processor (1990) (8)
- Gate Tunneling in Nanowire MOSFETs (2011) (8)
- Multiple gate oxide technology using nitrogen implantation and high-pressure O2 oxidation (2003) (8)
- Investigation on the optimized design of alternate-hole-defect for 2D phononic crystal based silicon microresonators (2012) (8)
- Physical model for defect mediated boron diffusion during rapid thermal annealing of ion implanted BF2 (1992) (8)
- Formation of high quality storage capacitor dielectrics by in-situ rapid thermal reoxidation of Si/sub 3/N/sub 4/ films in N/sub 2/O ambient (1994) (8)
- Development of RF MEMS switch on flexible organic substrate with wafer transfer technology (WTT) (2006) (8)
- GexSi1-x optical directional coupler (1991) (8)
- MOS Characteristics of NH ,-Nitrided N-0-Grown Oxides (1993) (8)
- Hot carrier reliability of HfSiON NMOSFETs with poly and TiN metal gate (2004) (8)
- Reliability of Ultra Thin CVD HfOt Gate Dielectrics with Dual Poly-Si Gate Electrodes (2001) (8)
- Reduction of RIE-damage by N/sub 2/O-anneal of thermal gate oxide (1998) (8)
- Fully CMOS compatible subwavelength plasmonic slot waveguides for Si electronic-photonic integrated circuits (2011) (8)
- Impact of interfacial dipole on effective work function of nickel fully silicided gate electrodes formed on rare-earth-based dielectric interlayers (2007) (8)
- Optical bistability and free carrier dynamics in graphene–silicon photonic crystal cavities (2014) (8)
- Fast Vth instability in HfO2 gate dielectric MOSFETs and Its impact on digital circuits (2006) (8)
- Improved device performance and reliability in high /spl kappa/ HfTaTiO gate dielectric with TaN gate electrode (2005) (8)
- Widely Tunable Work Function TaN/Ru Stacking Layer on HfLaO Gate Dielectric (2008) (8)
- New Insights in Hf Based High-k Gate Deielectrics in MOSFETs (2006) (8)
- A low-frequency chip-scale optomechanical oscillator with 58 kHz mechanical stiffening and more than 100th-order stable harmonics (2017) (7)
- Nano-opto-mechanical linear actuator utilizing gradient optical force (2011) (7)
- Integration of High-$\kappa$ Dielectrics and Metal Gate on Gate-All-Around Si-Nanowire-Based Architecture for High-Speed Nonvolatile Charge-Trapping Memory (2009) (7)
- Low-loss silicon partial-rib waveguide polarization rotator (2013) (7)
- An ultra-compact polarization rotator based on surface plasmon polariton effect (2011) (7)
- Fabrication and characterization of bump-less Cu-Cu bonding by wafer-on-wafer stacking for 3D IC (2010) (7)
- Preface: Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 4: New Materials, Processes, and Equipment (2008) (7)
- Demonstration of enhanced spontaneous Raman scattering in slow-light silicon photonic crystal waveguides (2008) (7)
- Silicon Nitride-Based Compact Double-Ring Resonator Comb Filter With Flat-Top Response (2008) (7)
- Radiation effects in ultrathin nitrided oxides prepared by rapid thermal processing (1989) (7)
- NEMS variable optical attenuator (VOA) driven by optical force (2015) (7)
- Design and Manufacturing of Small Area On-Chip-Antenna (OCA) for RFID Tags (2006) (7)
- The impact of uniform strain applied via bonding onto plastic substrate on MOSFET performance (2006) (7)
- Normal-incidence grating couplers in Ge-Si. (1994) (7)
- In situ doping of GedxSi1−x with arsenic by rapid thermal processing chemical vapor deposition (1992) (7)
- Demonstration of a hitless bypass switch using nanomechanical perturbation for high-bitrate transparent networks. (2010) (7)
- Yttrium- and Terbium-Based Interlayer on $ \hbox{SiO}_{2}$ and $\hbox{HfO}_{2}$ Gate Dielectrics for Work Function Modulation of Nickel Fully Silicided Gate in nMOSFET (2007) (7)
- Modeling of suppressed dopant activation in boron‐ and BF2‐implanted silicon (1994) (7)
- Flicker Noise and Its Degradation Characteristics Under Electrical Stress in MOSFETs With Thin Strained-Si/SiGe Dual-Quantum Well (2007) (7)
- Adhesion Studies of Ta ∕ Low-k (Black Diamond) Interface using Thermocompressive Wafer Bonding and Four-Point Bend (2006) (7)
- Reflow of Phosphosilicate Glass by Rapid Thermal Annealing (1986) (7)
- Synchronization in air-slot photonic crystal optomechanical oscillators (2017) (7)
- Dependence of radiation induced damage on gate oxide thickness in mos capacitors with ultrathin gate oxides (1992) (7)
- Metal-Gate Work Function Modulation Using Hafnium Alloys Obtained by the Interdiffusion of Thin Metallic Layers (2007) (7)
- Solid phase epitaxy during Ge condensation from amorphous SiGe layer on silicon-on-insulator substrate (2006) (7)
- Bright square pulse generation by pump modulation in a normal GVD microresonator (2017) (7)
- Phase formation and work function tuning in fully silicided Co-Ni metal gates with variable Co:Ni ratios (2006) (7)
- Fast $V_{\rm th}$ Instability in $\hbox{HfO}_{2}$ Gate Dielectric MOSFETs and Its Impact on Digital Circuits (2006) (7)
- Silicon-based phase shifters for high figure of merit in optical modulation (2016) (7)
- High quality Ta/sub 2/O/sub 5/ gate dielectrics with T/sub ox.eq/<10 /spl Aring/ (1999) (7)
- Substrate Effects on Resonant Frequency of Silicon-Based RF On-Chip MIM Capacitor (2006) (7)
- Design and fabrication of a line-defect bend sandwiched with air trenches in a photonic crystal platform (2008) (7)
- Interfacial silicon oxide formation during oxygen annealing of Ta2O5 thin films on Si: Oxygen isotope labeling (2000) (7)
- High Germanium Content Strained SGOI by Oxidation of Amorphous SiGe Film on SOI Substrates (2005) (7)
- Low loss (approximately 6.45dB/cm) sub-micron polycrystalline silicon waveguide integrated with efficient SiON waveguide coupler. (2008) (7)
- Improvement in performance and degradation characteristics of MOSFETs with thin gate oxides grown at high temperature (1991) (7)
- Thickness Dependence of Charge‐Trapping Properties in Ultrathin Thermal Oxides Prepared by Rapid Thermal Oxidation (1993) (7)
- MICROFLUIDIC DROPLET-BASED LIQUID-LIQUID EXTRACTION FOR FLUORESCENCE-INDICATED MASS TRANSFER (2010) (7)
- Improvement of Electrical Properties of MOCVD HfO2 by Multistep Deposition (2003) (7)
- Dual Poly-Si Gate Metal Oxide Semiconductor Field Effect Transistors Fabricated with High-Quality Chemical Vapor Deposition HfO2 Gate Dielectrics (2003) (7)
- Modeling Study of InSb Thin Film For Advanced III-V MOSFET Applications (2006) (7)
- 11-Gbps 80-km transmission performance of zero-chirp silicon Mach-Zehnder modulator (2014) (6)
- A comparison of radiation and hot-electron-induced damages in MOS capacitors with rapid thermally nitrided thin-gate oxides (1991) (6)
- An Efficient On-Chip Single-Photon SWAP Gate for Entanglement Manipulation (2020) (6)
- Pseudo-potential band structure calculation of InSb ultra-thin films and its application to assess the n-metal-oxide-semiconductor transistor performance (2011) (6)
- Mechanism of rapid thermal nitridation of thin oxides (1990) (6)
- Work-Function Tuning of TaN by High-Temperature Metal Intermixing Technique for Gate-First CMOS Process (2006) (6)
- CMOS compatible Gate-All-Around Vertical silicon-nanowire MOSFETs (2008) (6)
- A manufacturable multiple gate oxynitride thickness technology for system on a chip (1999) (6)
- Charge-Based Capacitance Measurement Technique for Nanoscale Devices: Accuracy Assessment Based on TCAD Simulations (2009) (6)
- A tunable and program-erasable capacitor on Si with excellent tuning memory (2004) (6)
- Kinetic diffusion model of ion‐implanted boron during rapid thermal annealing (1992) (6)
- Development and evaluation of a two-level functional structure for the thin film encapsulation (2013) (6)
- Design and analysis of 3D stacked optoelectronics on optical printed circuit boards (2008) (6)
- Characteristics of NEMS Piezoresistive Silicon Nanowires Pressure Sensors With various Diaphragm Layers (2011) (6)
- Perspective of flash memory realized on vertical Si nanowires (2012) (6)
- Spatial variation of TSV capacitance and method of stabilization with Al2O3-induced negative fixed charge at the silicon-liner interface (2011) (6)
- Study of inversion layer mobility in metal‐oxide‐semiconductor field‐effect transistors with reoxidized nitrided oxides (1990) (6)
- Fine-pitch bump-less Cu-Cu bonding for wafer-on-wafer stacking and its quality enhancement (2010) (6)
- Electrical transport of bottom-up grown single-crystal Si1−xGex nanowire (2008) (6)
- Real-time transition dynamics and stability of chip-scale dispersion-managed frequency microcombs (2020) (6)
- Selective epitaxial growth with oxide‐polycrystalline silicon‐oxide masks by rapid thermal processing chemical vapor deposition (1990) (6)
- Microwave coplanar filters on Si substrates (2003) (6)
- Dynamical chaos in chip-scale optomechanical oscillators (2016) (6)
- Effect of Ni thickness dependence on NiSi FUSI metal gate characteristics (2004) (6)
- Broadband variable chromatic dispersion in photonic-band electro-optic waveguide (2006) (6)
- Suppressed Process-Induced Damage in N2O-annealed SiO2 Gate Dielectrics (1995) (6)
- Low-Stress Bond Pad Design for Low-Temperature Solder Interconnections on Through-Silicon Vias (TSVs) (2010) (5)
- Novel Rare-Earth Dielectric Interlayers for Wide NMOS Work-Function Tunability in Ni-FUSI Gates (2008) (5)
- Modulation of the Ni FUSI workfunction by Yb doping: from midgap to n-type band-edge (2005) (5)
- Real-time dynamics and cross-correlation gating spectroscopy of free-carrier Drude slow-light solitons (2017) (5)
- Effects of rapid thermal oxidation on electrical characteristics of chemical-vapor-deposited SiO2 gate dielectrics (1989) (5)
- The observation of super-long range surface plasmon polaritons modes and its application as sensory devices. (2010) (5)
- NEMS actuator driven by electrostatic and optical force with nano-scale resolution (2015) (5)
- HfOx-Based RRAM Cells with Fully CMOS Compatible Technology (2012) (5)
- Frequency microcomb stabilization via dual-microwave control (2021) (5)
- Impact of metal gate work function on nano CMOS device performance (2004) (5)
- Sub-modeling technique for thermo-mechanical simulation of solder microbumps assembly in 3D chip stacking (2009) (5)
- CMOS-Compatible Silicon Nanoplasmonics for On-Chip Integration (2012) (5)
- Dynamic metasurface for broadband electromagnetic modulator in reflection (2016) (5)
- Structure and Composition of Luminescent Laterally Anodized Porous Si (1991) (5)
- Experiments and modeling of boron segregation in As implanted Si during annealing (1997) (5)
- Deposition and Annealing of Ultrathin Ta2 O 5 Films on Nitrogen Passivated Si ( 100 ) (1999) (5)
- Dependence of hot-carrier immunity on channel length and channel width in MOSFETs with N/sub 2/O-grown gate oxides (1992) (5)
- Integration of RF MEMS and CMOS IC on a Printed Circuit Board for a Compact RF System Application Based on Wafer Transfer (2008) (5)
- Enhanced Vertical Light Extraction From Ultrathin Amorphous Si–Si$_{3}$ N$_{4}$ Multilayers With Photonic Crystal Patterns (2009) (5)
- Frequency dependent dynamic charge trapping in HfO/sub 2/ and threshold voltage instability in MOSFETs (2004) (5)
- Ni-Containing Electrodes for Compact Integration of Resistive Random Access Memory With CMOS (2013) (5)
- Modeling of nonvolatile gate-all-around charge-trapping SONOS memory cells (2009) (5)
- In situ cleaning effect on the electrical properties of Ge MOS devices by Ar gas anneal (2006) (5)
- Towards athermal nanoplasmonic resonators based on Cu-Tio2-Si hybrid plasmonic waveguide (2013) (5)
- Error-free data transmission through fast broadband all-optical modulation in graphene–silicon optoelectronics (2020) (5)
- High-Performance TO Switches on Compact Cu-Dielectric-Si Hybrid Plasmonic WRRs (2014) (5)
- Enhanced hot-hole degradation in P/sup +/-poly PMOSFETs with oxynitride gate dielectrics (1999) (5)
- High efficiency optical switches with Heater-on-Slab (HoS) structures (2011) (5)
- Comparison of the Density and Distribution of Traps Generated by High Voltage Stress in Silicon Oxide and Silicon Oxynitrides (1992) (5)
- Fabrication and Characterization of Poly-Si Vertical Nanowire Thin Film Transistor (2011) (5)
- Nano-optomechanical static random access memory (SRAM) (2015) (5)
- Enhancement of the Flatband Modulation of Ni-Silicided Gates on Hf-Based Dielectrics (2008) (5)
- High capacitance density (> 17 fF//spl mu/m/sup 2/) Nb/sub 2/O/sub 5/-based MIM capacitors for future RF IC applications (2005) (5)
- Integrated silicon microring resonator devices for point-of-care diagnostic applications (2014) (5)
- A dual-strained CMOS structure through simultaneous formation of relaxed and compressive strained-SiGe-on-insulator (2006) (5)
- Charge trapping and detrapping phenomena in thin oxide-nitride-oxide stacked films (1988) (5)
- Impact of process-induced damage on MOSFET reliability and suppression of damage by the use of NO-based oxynitride gate dielectrics (1995) (5)
- Dopant redistribution during Pd2Si formation using rapid thermal annealing (1986) (5)
- A nano-optical switch driven by optical force using a laterally coupled double-ring resonator (2012) (5)
- Ultra thin high quality stack nitride/oxide gate dielectrics prepared by in-situ rapid thermal N/sub 2/O oxidation of NH/sub 3/-nitrided Si (1999) (5)
- Degradation of low frequency noise in SiGe-and SiGeC-surface channel p-type metal-oxide-semiconductor field effect transistor due to consuming the Si cap (2007) (5)
- Multifunctional optoelectronic device based on graphene-coupled silicon photonic crystal cavities. (2021) (5)
- Silicon Quadrature Phase-Shift-Keying Modulator for 40- and 100-Gb/s Transmission (2014) (5)
- Ultra thin (<20 /spl Aring/) CVD Si/sub 3/N/sub 4/ gate dielectric for deep-sub-micron CMOS devices (1998) (5)
- DOPANT-ENHANCED LOW-TEMPERATURE EPITAXIAL GROWTH OF IN SITU DOPED SILICON BY RAPID THERMAL PROCESSING CHEMICAL VAPOR DEPOSITION (1991) (5)
- Tunable flat lens based on microfluidic reconfigurable metasurface (2015) (5)
- Observation of four-wave mixing conversion efficiency and bandwidth in silicon photonic crystal waveguides (2010) (5)
- Junction-Less Stackable SONOS Memory Realized on Vertical-Si-Nanowire for 3-D Application (2011) (5)
- Reliability Characteristics of poly Si-gated High Quality Chemical Vapor Deposition Hafnium Oxide Gate Dielectric (2004) (5)
- Thz polarizer using tunable metamaterials (2013) (4)
- Silicide Schottky-Barrier Phototransistor Integrated in Silicon Channel Waveguide for In-Line Power Monitoring (2009) (4)
- Low-loss all-adiabatic silicon-waveguide polarization-division multiplexer in C and L bands (2014) (4)
- Surface chemistry of the N-containing precursor dimethylhydrazine on Cu (1997) (4)
- N 2 O Oxidation Kinetics of Ultra Thin Thermally Grown Silicon Nitride: An Angle Resolved X-Ray Photoelectron Spectroscopy Study (1999) (4)
- Pt–Germanide Formed by Laser Annealing and Its Application for Schottky Source/Drain Metal–Oxide–Semiconductor Field-Effect Transistor Integrated with TaN/Chemical Vapor Deposition HfO2/Ge Gate Stack (2008) (4)
- Fully CMOS compatible 1T1R integration of vertical nanopillar GAA transistor and Oxide based RRAM cell for high density nonvolatile memory application (2013) (4)
- Investigation of Dopant Effects in CoSi2 and NiSi Fully Silicided Metal Gates (2005) (4)
- A novel high-k gate dielectric HfLaO for next generation CMOS technology (2006) (4)
- Design and characterisation of high-speed monolithic silicon modulators for digital coherent communication (2015) (4)
- 200 mm wafer-scale substrate transfer of 0.13μmCu∕low-k (Black Diamond™) dual-damascene interconnection to glass substrates (2005) (4)
- High-ON/OFF-contrast 10-Gb/s silicon Mach-zehnder modulator in high-speed low-loss package (2014) (4)
- Sub-femto-farad capacitance-voltage characteristics of single channel gate-all-around nano wire transistors for electrical characterization of carrier transport (2008) (4)
- Interface Engineering for High-κ Ge MOSFETs (2007) (4)
- Improving work function tuning by preimplanting multiple dopants in Ni fully silicided gate (2006) (4)
- NEMS optical cross connect (OXC) driven by opticl force (2015) (4)
- A Novel Dual-Metal Gate Integration Process for Sub-1nm EOT HfO2 CMOS Devices (2004) (4)
- Study of Thermal Stability of Cvd Ta205/Si Interface (1999) (4)
- Silicon Mach-Zehnder modulator using low-loss phase shifter with bottom PN junction formed by restricted-depth doping (2013) (4)
- RF, DC, and Reliability Performance of MIM Capacitors Embedded in Organic Substrates by Wafer-Transfer Technology (WTT) for System-on-Package Applications (2007) (4)
- Study of stress-induced leakage current in scaled SiO2 (1995) (4)
- Localized oxide degradation in ultrathin gate dielectric and its statistical analysis (2003) (4)
- Dopant-Segregated Ni-Silicide Schottky-Source/Drain CMOS on Strained-Si/SiGe Multiple Quantum-Well Channel on Bulk-Si (2006) (4)
- A low stress bond pad design for low temperature solder interconnections on through silicon vias (TSVs) (2011) (4)
- Design and Characterization of Microelectromechanical System Flow Sensors Using Silicon Nanowires (2011) (4)
- Electrical characteristics of reoxidized-nitrided chemical vapor deposited oxides (1989) (4)
- Alloying of Al-Cu-Si metallization by rapid thermal annealing (1986) (4)
- High-density CMOS interconnect realized on flexible organic substrate (2006) (4)
- The Role of Silicon Monohydride and Dihydride in the Photoluminescence of Porous Silicon and Photoluminescence of Porous Silicon Buried Underneath Epitaxial GaP (1991) (4)
- Enhanced Sensitivity of Small-Size (With 1-$\mu \hbox{m}$ Gate Length) Junction-Field-Effect-Transistor-Based Germanium Photodetector Using Two-Step Germanium Epitaxy by Ultrahigh Vacuum Chemical Vapor Deposition (2009) (4)
- Complementary Metal Oxide Semiconductor Compatible Hf-Based Resistive Random Access Memory with Ultralow Switching Current/Power (2012) (4)
- Controllable optomechanical coupling and Drude self-pulsation plasma locking in chip-scale optomechanical cavities (2016) (4)
- Accuracy Assessment of Charge-Based Capacitance Measurement for Nanoscale MOSFET Devices (2008) (4)
- Manipulating interface dipoles of opposing polarity for work function engineering within a single metal gate stack (2008) (4)
- Growth Chemistry of Ultrathin Silicon Nitride and Oxynitride Passivation Layers on Si(100) (1997) (4)
- A novel approach for integration of dual metal gate process using ultra thin aluminum nitride buffer layer (2003) (4)
- Rapid thermal hydrogen passivation of polysilicon MOSFETs (1990) (4)
- Very High Density RF MIM Capacitors (17 ) Using High- Doped Dielectrics (2003) (4)
- Ultrathin stacked Si/sub 3/N/sub 4//SiO/sub 2/ gate dielectrics prepared by rapid thermal processing (1991) (4)
- Robust HfN metal gate electrode for advanced MOS devices application (2003) (4)
- Highly reliable chemical vapor deposited stacked oxynitride gate dielectrics fabricated by in situ rapid thermal multiprocessing (1996) (4)
- Monolithic photonic integrated circuit for optical performance monitoring of silicon Mach-Zehnder modulator in C and L bands (2013) (4)
- Chemically modified ultrathin oxides fabricated by rapid thermal processing (1992) (4)
- High-density MIM capacitors (/spl sim/85 nF/cm/sup 2/) on organic substrates (2005) (4)
- Silicon Nanowire Field Effect Devices By Top-Down CMOS Technology (2007) (4)
- Photoluminescence and Structure of Chemically Etched Si (1991) (4)
- Dual metal gate process by metal substitution of dopant-free polysilicon on high-K dielectric (2005) (4)
- Dielectric engineering in nanocrystal memory devices for improved programming dynamics (2005) (3)
- Transport and Back-Gated Field Effect Characteristics of Si Nanowires Formed by Stress-Limited Oxidation (2005) (3)
- Electrical characteristics of oxynitride gate dielectrics prepared by rapid thermal processing of LPCVD SiO/sub 2/ films (1989) (3)
- The impact of TiN capping Layer on NiSi, CoSi/sub 2/, and Co/sub x/Ni/sub 1-x/Si/sub 2/ FUSI metal gate work function adjustment (2005) (3)
- MULTI-SIZE DROPLETS GENERATION VIA SIDE-BRANCH MICROFLUIDIC CHANNELS (2010) (3)
- Realization of Ni Fully Silicided Gate on Vertical Silicon Nanowire MOSFETs for Adjusting Threshold Voltage $({V}_{T})$ (2011) (3)
- Ultrathin TiO2 Gate Dielectric Formation by Annealing of Sputtered Ti on Nitrogen Passivated Si Substrates in Nitric Oxide Ambient (1999) (3)
- Stimulated generation of deterministic platicon frequency microcombs (2022) (3)
- 128Gb/s DP-QPSK silicon modulator module integrated with driver amplifiers (2014) (3)
- A nano-actuator via cavity-enhanced optical dipole force (2012) (3)
- Ge x Si l-x Layers Grown by Rapid Thermal Processing Chemical Vapor Deposition (1989) (3)
- Novel Integration of Metal–Insulator–Metal (MIM) Capacitors Comprising Perovskite-type Dielectric and Cu Bottom Electrode on Low-Temperature Packaging Substrates (2008) (3)
- Formation of High Quality Oxynitride Gate Dielectrics by High Pressure Thermal Oxidation of Si in NO (1999) (3)
- Junction-less stackable SONOS memory realized on vertical-Si-nanowire for 3-D application (2011) (3)
- Performance and reliability of ultrathin reoxidized nitrided oxides fabricated by rapid thermal processing (1991) (3)
- Fabrication of high Ge content SiGe layer on Si by Ge condensation technique (2006) (3)
- An Improved Pregate Cleaning Process for High-k Gate Dielectric Fabrication (2005) (3)
- Self-aligned TiNxOy/TiSi2 contact formation (1989) (3)
- Future mobile society beyond Moore's Law (2013) (3)
- Effects of AC hot carrier stress on n- and p-MOSFET's with oxynitride gate dielectrics (1994) (3)
- LASER PROCESSING OF SEMICONDUCTORS (1982) (3)
- Study of interface state generation in thin oxynitride gate dielectrics under hot-electron stressing (1989) (3)
- Silicon Nanowires embedded pressure sensor with annularly grooved diaphragm for sensitivity improvement (2014) (3)
- Effects of residual surface nitrogen on the dielectric breakdown characteristics of regrown oxides (1993) (3)
- Effects of surface pretreatment of polysilicon electrode prior to Si/sub 3/N/sub 4/ deposition on the electrical characteristics of Si/sub 3/N/sub 4/ dielectric films (1994) (3)
- A Lens Holder in Conjunction With a MEMS Platform for On-Chip Aligning and Fixing of a Ball Lens in Silicon Photonics Packaging (2011) (3)
- Characteristics of sub-1 nm CVD HfO/sub 2/ gate dielectrics with HfN electrodes for advanced CMOS applications (2004) (3)
- Metamaterial tunable filter with liquid metal (2013) (3)
- Reaction Kinetics of Sputter-Deposited Ti On SiO 2 Substrates during Rapid Thermal Annealing (1989) (3)
- Interface configuration and Fermi-level pinning of fully silicided gate and high-K dielectric stack (2006) (3)
- A CMOS-compatible oscillation-mode optomechanical DC accelerometer at 730-ng/Hz1/2 resolution (2016) (3)
- Charge retention characteristics of SiGe quantum dot flash memories (2002) (3)
- Rapid Thermal Processing for Self-Aligned Silicide Technology (1987) (3)
- Power-dependence of high-Q optomechanical oscillators: from pre-oscillation, to oscillation slope, to Drude-plasma (2017) (3)
- Universally stable microresonator Turing pattern formation for coherent high-power THz radiation on-chip (2016) (3)
- A chip-scale sub-μg/Hz1/2 optomechanical DC accelerometer at the thermodynamical limit (2016) (3)
- Effectiveness of Aluminum Incorporation in Nickel Silicide and Nickel Germanide Metal Gates for Work Function Reduction (2007) (3)
- Charge trapping and interface state generation in ultrathin stacked Si3N4/SiO2 gate dielectrics (1991) (3)
- A polarization diversity circuit for silicon photonics (2011) (3)
- MOS Characteristics and Reliability of Thin Gate Dielectrics Grown by Rapid Thermal Processing in O2 Diluted with NF3 (1990) (3)
- Thin Amorphous $\hbox{Si/Si}_{3}\hbox{N}_{4}$-Based Light-Emitting Device Prepared With Low Thermal Budget (2008) (3)
- MOS Characteristics of synthesized HfAlON-HfO/sub 2/ stack using AlN-HfO/sub 2/ (2004) (3)
- Dissipative Kerr Soliton mode-locking and breather states in 19 GHz Si3N4 microresonator (2018) (3)
- Oxidation Resistance of Ultrathin Silicon Nitride Passivation Layers on Si(100) (1997) (3)
- Ultrasensitive pressure sensor based on gate- all-around nanowire fet (2011) (3)
- Higher k HfTaTiO gate dielectric with improved material and electrical characteristics (2005) (3)
- Impacts of Dopant Segregation on the Performance and Interface-State Density of the MOSFET With FUSI NiSi Gate (2007) (3)
- Electro-optically tunable switches with 100GHz flat-top passband and 45dB extinction ratio using silicon high-order coupled-microring resonators for optical interconnects (2012) (3)
- Gb/s Physical Random Bits Through Mesoscopic Chaos in Integrated Silicon Optomechanical Cavities (2019) (3)
- Rapid thermal annealing for H passivation of polysilicon MOSFETs from Si3N4 overcoat (1989) (3)
- Short-channel MOSFETs with superior gate dielectrics fabricated using multiple rapid thermal processing (1988) (2)
- Extended Access to Self-Disciplined Platicon Generation in Normal Dispersion Regime via Single FSR Intensity-Modulated Pump (2020) (2)
- Ultracompact Si electro-optic modulator based on horizontal Cu-insulator-Si-insulator-Cu nanoplasmonic waveguide (2013) (2)
- HfO2 Gate Dielectrics for Future Generation of CMOS Device Application (2004) (2)
- All-optical analogue to electromagnetically induced transparency with silicon photonic crystal nanocavities (2008) (2)
- CMOS Compatible Hf-based RRAM with Ultra-low Switching Currents/Power (2011) (2)
- Rapid Thermal Annealing of Composite TaSi 2 /n + Poly-Si Silicide Films (1983) (2)
- Selective tuning of silicon photonic crystal cavities via laser-assisted local oxidation (2011) (2)
- Non-zone-folded transition-energy calculations for quantum-confined Stark effect in Si1-xGex/Si quantum wells (1991) (2)
- High Performance NMOS Devices Using Ultra-Thin VHP Oxynitride (2000) (2)
- Double-layer hepatocyte tumor co-culture using hydrogel for drug effectivity and specificity analysis (2012) (2)
- Chaos synchronization over 50 kilometers using monolithic silicon optomechanical cavities (2018) (2)
- Reduction of Leakage and Low-Frequency Noise in MOS Transistors Through Two-Step RTA of NiSi-Silicide Technology (2006) (2)
- MOS characteristics of substituted Al gate on high-/spl kappa/ dielectric (2004) (2)
- Low workfunction fully silicided gate on SiO/sub 2//Si and LaAlO/sub 3//GOI n-MOSFETs (2004) (2)
- Role of dopant incorporation in low-temperature Si epitaxial growth by rapid thermal processing chemical vapor deposition (1992) (2)
- Germanium Photodetector Technologies for Optical Communication Applications (2010) (2)
- ALD HfO2 Based RRAM with Ti Capping (2013) (2)
- Selected topics on HfO/sub 2/ gate dielectrics for future ULSI CMOS devices (2004) (2)
- Two Silicon Nitride Technologies for Post (2001) (2)
- A Two-Wafer Approach for Integration of Optical MEMS and Photonics on Silicon Substrate (2010) (2)
- Novel gate voltage ramping technique for the characterisation of metal-oxide-semiconductor capacitor charge trapping properties (1990) (2)
- Development of flexible neural probes using SU-8/parylene (2013) (2)
- NEMS integrated photonic system using nano-silicon-photonic circuits (2015) (2)
- Formation of high-quality oxide/nitride stacked layers on rugged polysilicon electrodes by rapid thermal oxidation (1993) (2)
- 20-Gb/s DPSK transmission with 550-ps/nm dispersion tolerance using silicon Mach-Zehnder modulator (2013) (2)
- High performance depletion-mode silicon modulators (2011) (2)
- Reliability comparison of short-channel MOSFETs with cobalt salicide and titanium salicide structures (1989) (2)
- Four-wave mixing in slow-light graphene-silicon photonic crystal waveguides (2014) (2)
- Response to “Comment on ‘Ultrathin low-temperature SiGe buffer for the growth of high quality Ge epilayer on Si(100) by ultrahigh vacuum chemical vapor deposition’” [Appl. Phys. Lett. 90, 092108 (2007)] (2007) (2)
- Effects of Annealing and Temperature on SGOI Fabrication Using Ge Condensation (2006) (2)
- Integration issues with high k gate stacks (2003) (2)
- Hot carrier effects on analog performance of N- and P-MOSFET's with oxynitride gate dielectrics (1994) (2)
- Hot-carrier degradation for deep-submicron N-MOSFETs introduced by back-end processing (1997) (2)
- An integrated tunable laser using nano-silicon-photonic circuits (2014) (2)
- Characterization of Si nanowires-based piezoresistive pressure sensor by dynamic cycling test (2012) (2)
- Highly Ordered Nanostructures for Ultra-Sensitive SERS (2007) (2)
- Experimental demonstration of Fano resonance in microfabricated phononic crystal resonators based on two-dimensional silicon slab (2013) (2)
- Germanium electro-absorption modulator for power efficient optical links (2011) (2)
- Optical Clocks via Breather Stabilization in Chip-Scale Frequency Combs (2019) (2)
- Steady-State Versus Rapid Thermal Annealing of Phosphorusimplanted Pseudomorphic Si(100)/Ge 0.12 Si 0.88 (1994) (2)
- Dual-poly CVD HfO2 gate stack for sub-100 nm CMOS technology (2001) (2)
- Effects of dynamic stressing on nitrided and reoxidized-nitrided chemical-vapor-deposited gate oxides (1989) (2)
- Rapid Thermal Anneaung of Arsenic-Phosphorus(N+-N-) Double-Diffused Shallow Junctions (1985) (2)
- Nanometer germanium photodetector with aluminum surface plasmon antenna for enhanced photo-response (2010) (2)
- The foundry model for silicon photonics-technology, challenges, and opportunities (2012) (2)
- Stress Analysis of Embedded Active Devices in Substrate Cavity for System-On-Package (SOP) (2008) (2)
- CMOS-Compatible Plasmonic Bragg Reflectors Based on Cu-Dielectric-Si Structures (2013) (2)
- A study of cancer cell metastasis using microfluidic transmigration device (2012) (2)
- Rapid thermal and integrated processing : 10-11 September 1991, San Jose, California (1992) (2)
- Defect reduction in GexSi1−x epitaxy by rapid thermal processing chemical vapor deposition using a low‐temperature in situ preclean and a Si buffer layer (1991) (2)
- Internally phase stabilized Kerr frequency comb (2017) (2)
- Study of electrical characteristics of polyoxides grown by rapid thermal oxdidation (1990) (2)
- A THz dual mode switch using MEMS switchable metamaterial (2011) (2)
- High Transmission Photonic Crystal Line-defect Bend with Double Low-index Trenches (2007) (2)
- Silicon Device Processing (2013) (2)
- CMOS Compatible Dual Metal Gate Integration with Successful Vth Adjustment on High-k HfTaON by High-Temperature Metal Intermixing (2006) (2)
- A low stress bond pad design optimization of low temperature solder interconnections on TSVs for MEMS applications (2012) (2)
- CMOS Integration Issues with High-K/Metal Gate Stack (2006) (2)
- Comparative Study of $\hbox{1}/f$ Noise Degradation Caused by Fowler–Nordheim Tunneling Stress in Silicon Nanowire Transistors and FinFETs (2010) (2)
- Cold and hot carrier effects on HfO/sub 2/ and HfSiO NMOSFETS with tin gate electrode (2005) (2)
- Application of RTP-CVD Technology to Ulsi Device Fabrication (1991) (2)
- Novel low aspect-ratio Si nano-hemisphere array surface texture application to ultrathin film solar cells (2011) (2)
- Multispectral optical frequency comb based on microresonator Faraday instability (2017) (2)
- Silicon waveguide infrared photodiodes based on embedded nickel silicide particles (2012) (2)
- A NEMS optical switch driven by optical force (2011) (2)
- Study of Mobility in Strained Silicon and Germanium Ultra Thin Body MOSFETs (2004) (2)
- Si Nanowire CMOS Transistors and Circuits by Top-Down Technology Approach (2008) (2)
- Investigation of PVD HfO/sub 2/MIM capacitors for Si RF and mixed signal ICs application (2003) (2)
- Design and experiments of a Nano-opto-mechanical switch using EIT-like effects of coupled-ring resonator (2011) (2)
- Behavior of Effective Work Function in Metal/High-K Gate Stack under High Temperature Process (2004) (2)
- High Quality Ultra-Thin Tunneling N 2 O Oxides Fabricated by Rtp (1993) (2)
- Gate-All-Around Silicon Nanowire Devices: Are These the Future of CMOS? (2008) (2)
- Subharmonics generation based on synchronization of self-pulsation and optomechanical oscillation in a monolithic silicon cavity (2014) (2)
- Feasibility study of using thin aluminum nitride film as a buffer layer for dual metal gate process (2004) (2)
- Cost effective optical coupling for polymer optical fiber communication (2008) (2)
- Visible Luminescence from Controlled Multi-Layer Stack Comprising of Thin Amorphous Silicon and Silicon Nitride Layers (2007) (2)
- Analysis of ultracompact silicon electro-optic modulator based on Cu-insulator-Si hybrid plasmonic donut resonator (2012) (2)
- Metal-oxide-semiconductor characteristics of rapid thermal processed chemical vapor deposited SiO2 gate dielectrics (1991) (2)
- Mapping ultrafast timing jitter in dispersion-managed 89 GHz frequency microcombs via self-heterodyne linear interferometry (2021) (2)
- 50th order series-coupled micro-ring resonator (2008) (2)
- Nanometric precision distance metrology via chip-scale soliton microcombs. (2020) (2)
- Experimental demonstration of optical switch using gradient optical force (2012) (2)
- Thermal and Chemical Instability Between Iridium Gate Electrode and Ta 2 0 5 Gate Dielectrics (1999) (2)
- Smooth coherent Kerr frequency combs generation with broadly tunable pump by higher order mode suppression (2016) (2)
- Fully-depleted ultra narrow (-10 nm) body Gate-All-Around CMOS transistors (2005) (1)
- Defect Study of GeSi Alloy Multilayer Structures Grown by RTPCVD (1991) (1)
- Effects of NH 3 nitridation on oxides grown in pure N 2 O ambient (2013) (1)
- Reliability study on rapid thermal processed metal-oxide-semiconductor field effect transistors (1988) (1)
- Modeling of Boron Diffusion and Activation for Nonequilibrium Rapid Thermal Annealing Application (1993) (1)
- Silicon Nanowire: Technology Platform, Devices, Applications and Challenges (2007) (1)
- Rapid thermal annealing of arsenic-phosphorus (n+ − n−) double-diffused shallow junctions (1988) (1)
- Optofluidics: A Flat Lens with Tunable Phase Gradient by Using Random Access Reconfigurable Metamaterial (Adv. Mater. 32/2015) (2015) (1)
- A tunable MEMS THz waveplate based on isotropicity dependent metamaterial (2013) (1)
- Auger electron spectroscopy study of the interaction of NO2 with Si(100) (1994) (1)
- Monolithic integration and optimization of waveguide silicon modulators and germanium photodetectors (2010) (1)
- Dopant Redistribution During Pd2Si Formation Using Rapid Therm1al Annealing (1985) (1)
- Conduction investigation in oxide-based resistive random access memory with low frequency noise analysis (2013) (1)
- Localized germanium-on-insulator patterns on Si by novel etching scheme in CF4/O2 plasma (2006) (1)
- The Analysis of Dielectric Breakdown in Cu/Low-k Interconnect System (2006) (1)
- Plasma-induced charging damage in P+-polysilicon PMOSFETs (1997) (1)
- Particle separation under the co-action of Brownian motion and optical force in near-field speckle patterns (2015) (1)
- Calculation of the threshold voltage of metal‐oxide‐semiconductor field‐effect transistors with Pearson‐IV channel doping profile (1984) (1)
- A thermo-optic photonic switch (2010) (1)
- Nitridation of SiO2 in NO Ambient for Ultrathin Oxynitride Dielectric Formation (1994) (1)
- Properties of Thin Inter-Polysilicon Reoxidized Nitrided Oxides Prepared by Rapid Thermal Processing (RTO/RTN/RTO) (1989) (1)
- Corrections to "200-mm Wafer Scale Transfer of 0.18 $mu$ m Dual-Damascene Cu/SiO $_2$ Interconnection System to Plastic Substrates" (2006) (1)
- Optical OFDM transmission using low-noise Kerr frequency comb generated in on-chip microresonator (2017) (1)
- Work function adjustment and polydepletion reduction in deep partial and full silicidation of poly-Si with Ni layer (2006) (1)
- Small-footprint 128-Gb/s DP-QPSK silicon optical modulator in ceramic-based metal package with low optical coupling loss (2015) (1)
- Vertical GAA Silicon Nanowire Transistor with Impact of Temperature on Device Parameters (2010) (1)
- Polarization selective tunable filter via tuning of Fano resonances in MEMS switchable metamaterials (2012) (1)
- Defects and Strain in Ge x Si 1−x Layers Grown by Rapid Thermal Processing Chemical Vapor Deposition (1989) (1)
- High Quality Single Crystal Al-catalyzed Si Nanowire (2007) (1)
- A Wafer Transfer Technology for Integration of RF MEMS and CMOS on Organic Substrate (2007) (1)
- High performance metal-gate/high-/spl kappa/ MOSFETs and GaAs compatible RF passive devices on Ge-on-insulator technology (2004) (1)
- Integration of RF-MEMS, passives and CMOS-IC on silicon substrate by low temperature wafer to wafer bonding technique (2008) (1)
- On-chip optical power measurement by optical force (2011) (1)
- Negative Index Photonic Crystals Superlattices and Zero Phase Delay Lines (2012) (1)
- Theoretical investigation of silicon MOS-type plasmonic slot waveguide based electrooptic modulators (2010) (1)
- Mid-Infrared Aluminum Nitride on Insulator (AlNOI) Platform (2019) (1)
- 128-Gb/s Monolithic Silicon Optical Modulator for Digital Coherent Communication (2015) (1)
- Purcell-enhanced spontaneous emission of colloidal PbS quantum dots in slow-light silicon photonic crystal waveguides at the near-infrared (2009) (1)
- Ta/TaNx Metal Gate Electrodes for Advanced CMOS Devices (2002) (1)
- Spontaneous tunable Turing pattern formation for coherent high-power THz radiation (2016) (1)
- Deterministic control of all-optical analogue to electromagnetically induced transparency in coherently-coupled silicon photonic crystal cavities (2008) (1)
- An Empirical Oxidation Rate Law for Thin Oxides (1985) (1)
- Electrical and reliability characteristics of submicrometer nMOSFETs with oxynitride gate dielectric prepared by rapid thermal oxidation in N/sub 2/O (1991) (1)
- Oxide/nitride stacked layers prepared by in situ rapid-thermal multiprocessing (1995) (1)
- Zero phase accumulation in negative-index photonic crystal superlattices (2011) (1)
- Characterization of DC, analog/RF, and low frequency noise in silicon-on-insulator nMOSFETs with different body-contact structures (2013) (1)
- Globally stable turing pattern formation in Si3N4 microresonator (2017) (1)
- Tunable microcantilever sensors with embedded piezotransistors (2010) (1)
- First Principle Study of Si and Ge Band Structure for UTB MOSFETs Applications (2005) (1)
- Novel Metal-Germinade Schottky Barrier Contacts for Si-Photonics Application (2008) (1)
- Hot carrier stress study in Hf-silicate NMOS transistors (2004) (1)
- Optimization of a Tin/TiSi 2 p+ Diffusion Barrier Process (1989) (1)
- Multi-phase-matched satellite frequency combs (2019) (1)
- A tunable metamaterial for wide-angle and broadband absorption through meta-water-capsule coatings (2016) (1)
- SiGe on insulator MOSFET integrated with Schottky source/drain and HfO2/TaN gate stack (2006) (1)
- Rapid Thermal Nitridation of Thin Oxides (1987) (1)
- Overcoming Challenges in Metal Gate Etching for Sub-45 nm Technology Node (2005) (1)
- Experimental demonstration of integrated horizontal Cu-Si3N4-Cu plasmonic waveguide and passive components (2012) (1)
- Low-frequency noise statistics for the breakdown characterization of ultrathin gate oxides (2005) (1)
- Experimental demonstration of microfabricated phononic crystal resonators based on two-dimensional silicon plate (2011) (1)
- High speed energy-efficient germanium electro-absorption modulator featuring monolithic integration with germanium p-i-n photodetector (2011) (1)
- Design of a low-loss thermo-optic switch (2010) (1)
- Gbps physical random bit generation based on the mesoscopic chaos of a silicon photonics crystal microcavity. (2020) (1)
- A Kinetics Study of the Bond Strength of Direct Bonded Wafers (2005) (1)
- A nanomachined tunable oscillator controlled by electrostatic and optical force (2015) (1)
- Radiation-induced interface state generation in MOS devices with reoxidised nitrided SiO/sub 2/ gate dielectrics (1989) (1)
- Rapid Thermal and Laser Processing: 24-25 September 1992 San Jose, California (1993) (1)
- Effects of residual surface nitrogen on the dielectric breakdown characteristics of regrown oxides (1993) (1)
- Silicon photonics for monolithic electronic-photonic integrated circuit applications: Opportunities and challenges (2011) (1)
- Polarity asymmetry of electrical characteristics of thin nitrided polyoxides prepared by in-situ multiple rapid thermal processing (1991) (1)
- Taper couplers for coupling between laser and silicon waveguide with large allowable tolerance (2008) (1)
- Semi-Empirical Model for Boron Diffusion During Rapid Thermal Annealing of BF2 Implanted Silicon (1993) (1)
- Issues associated with p-type band-edge effective work function metal electrodes: Fermi-level pinning and flatband roll-off (2007) (1)
- CMOS Compatible Si-Nanowire Inverter Logic Gate for Low Power Applications (2007) (1)
- Physically Secure Image Transfer using Synchronized Chaos between Silicon Optomechanical Cavities (2020) (1)
- Nano-opto-mechanical (NOM) acoustic wavefront sensor via ring resonators (2013) (1)
- A tunable laser using loop-back external cavity based on double ring resonators (2013) (1)
- Current conduction and charge trapping in thin interpoly dielectrics prepared by in situ multiple rapid thermal processing (1992) (1)
- Monolithic silicon photonic DWDM receiver for terabit data communications (2010) (1)
- Vertical Silicon Nanowire MOSFET With A Fully-Silicided (FUSI) NiSi2 Gate (2011) (1)
- 5 Interface Engineering for High-k Ge MOSFETs (1)
- High-resolution and Large Tunable Range Nanophotonic Spectrometer Using a Microring Resonator (2018) (1)
- Characterization of a multi-layered MEMS pressure sensor using piezoresistive silicon nanowire within large measurable strain range (2012) (1)
- Enhanced Raman scattering in slow-light photonic crystals for chip-scale frequency conversion and optical amplification. (2010) (1)
- All optomechanical signal modulation in photonic circuits (2015) (1)
- Short-channel MOSFETs with oxynitride gate dielectrics fabricated using multiple rapid thermal processing (1989) (1)
- High Quality ONO Gate Dielectrics Fabricated by In-Situ RTCVD (1994) (1)
- A MEMS platform for 2-D fine-positioning and locking of optical ball-lens in silicon photonics packaging (2010) (1)
- Schottky s/d MOSFETs with high-K gate dielectrics and metal gate electrodes (2004) (1)
- Quasi-breakdown in ultra-thin dielectrics (1997) (1)
- Evanescent-Coupled SEG-Ge Lateral and Vertical PIN Photodetectors Integrated on Si-Waveguide (2008) (1)
- Boron Diffusion in Fluorine Preamorphized Silicon During Rapid Thermal Annealing (1993) (1)
- Microelectronic Processes, Sensors, and Controls (1994) (1)
- Metal-insulator-Si hybrid plasmonic waveguide components for on-chip photonics (2012) (1)
- Degradation of metal-oxide-semiconductor characteristics due to borophosphosilicate-glass reflow in O/sub 2/-containing ambient (1993) (1)
- Impact of Process Variations on the Vertical Silicon Nanowire Tunneling FET (TFET) (2013) (1)
- Investigation of tunneling field effect transistor reliability (2010) (1)
- Ge x Si 1−x Waveguides Grown by Rapid Thermal Processing Chemical Vator Deposition (1991) (1)
- Rapid thermal oxidation of thin nitride dielectrics deposited on rapid thermal nitrided polycrystalline silicon (1992) (1)
- Gate-induced drain leakage current degradation and its time dependence during channel hot-electron stress in n-MOSFETs (1992) (1)
- Broadband low-phase-noise 18 GHz Kerr frequency microcomb (2015) (1)
- Optical design of a miniature semi-integrated tunable laser on a silicon optical bench (2008) (1)
- 320 Gbps monolithic silicon photonic DWDM receiver (2010) (1)
- NEMS actuator driven by optical gradient force (2013) (1)
- Integrated closed-loop cavity of a tunable laser (2016) (1)
- A low-phase-noise 18 GHz Kerr frequency comb spanning 65 THz (2015) (1)
- Low Hydrogen Component SiN Films by PECVD for Low Propagation Loss Waveguide (2008) (1)
- Boron‐enhanced low‐temperature Si epitaxy by rapid thermal processing chemical vapor deposition (1992) (1)
- Phase stabilization of Kerr frequency comb internally without nonlinear optical interferometry (2016) (1)
- Low-driving-voltage silicon DP-IQ modulator for 100G and beyond (2017) (1)
- Current‐voltage characteristics of ion‐implanted metal‐semiconductor field effect transistor (1985) (1)
- High Performance fully silicided NiSi:Hf gate on LaAlO3/GOI n-MOSFET with Little Fermi-level Pinning (2004) (1)
- Charge Trapping/Detrapping and Dielectric Breakdown in SiO2/Si3N4/SiO2 Stacked Layers on Rugged Poly-Si under Dynamic Stress (1992) (1)
- Formation of Ultrathin Stacked Dielectrics Prepared by In-Situ Multi-Step RTP-CVD (1989) (1)
- Dynamic-stress-induced dielectric breakdown in ultrathin nitride/oxide stacked films deposited on rugged polysilicon (1992) (1)
- Copper Plug Barrier Process Optimization for Reliable Transistor Performance (2008) (1)
- Si-nanowire TAHOS (TaN/Al2O3/HfO2/SiO2/Si ) nonvolatile memory cell (2008) (1)
- Characterizations of silicon nanowires (SiNWs) embedded NEMS sensors and for potential biomedical applications (2013) (1)
- Gate oxide thickness dependence of high-field-induced interface state generation in thin thermal oxides (1990) (1)
- Simultaneous Formation of Silicide Ohmic Ion-Beam Mixing and Rapid Thermal Annealing and Shallow Contacts p +-n Junctions by (1985) (1)
- A novel program-erasable capacitor using high-/spl kappa/ AlN dielectric (2004) (1)
- Tunable metamaterials for terahertz ultra-broadband absorption driven by microfluidics (2016) (1)
- Charge trapping/detrapping and dielectric breakdown in SiO/sub 2//Si/sub 3/N/sub 4//SiO/sub 2/ stacked layers on rugged poly-Si under dynamic stress (1992) (1)
- Effects Of Rapid Thermal Processing On Device Reliability (1987) (1)
- Light emission near 1.3 /spl mu/m using ITO-Al/sub 2/O/sub 3/-Si/sub 0.3/Ge/sub 0.7/-Si tunnel diodes (2004) (1)
- Wide optical force-induced RF dynamic range and 100+ high-order stable mechanics in chip-scale optomechanical cavities (2016) (1)
- Demonstration of a single-chip integrated MEMS tunable laser with a large wavelength tuning range (2013) (1)
- Growth of in situ doped silicon epitaxial layer by rapid thermal processing (1990) (1)
- High spectral purity chip-scale tunable THz radiation source (2021) (0)
- Process optimization of dual-gate CMOS (1997) (0)
- Integration of 0.45-mm2 On-Chip-Antenna (OCA) with High Output Power for 2.45GHz RFID Tag (2005) (0)
- Frequency microcomb stabilization via dual-microwave control (2021) (0)
- Practical Solutions to Enhance EWF Tunability of Ni FUSI Gates on HfO2 (2007) (0)
- Silicon Polarization Rotator using Partial-Rib Waveguide (2013) (0)
- Quantum State Tomography of an On-chip Polarization-Spatial Qubit SWAP Gate (2021) (0)
- Experimental verification of zero-n¯ bandgap in photonic crystal superlattices at the near-infrared (2008) (0)
- Monolithic silicon DP-IQ modulator for digital coherent transmission (2016) (0)
- The Characterization of Initial Growth of Polycrystalline Silicon Germanium Films on Zirconium Oxide (2001) (0)
- OF RESIDUAL SURFACE NITROGEN ON THE DIELECTRIC BREDOWN CHARACTERISTICS OF REGROWN OXIDES (1993) (0)
- Recent developments in RT-CVD technology for ULSI material processing and device fabrication: an overview (1994) (0)
- Impacts of Body Contact Structures on SOI NMOSFET DC, RF, and 1/f Noise Characteristics (2007) (0)
- Ultrafast phase-resolved self-acceleration and frequency-chirp in silicon chip-scale slow-light solitons (2013) (0)
- An electrostatic controlled near-field nano-opto-probe for nano manipulation (2013) (0)
- Visible Photoluminescence and Microstructure of Annealed and Chemically Etched Amorphous Si (1992) (0)
- Prospective technology for system-on-a-chip: N 2 implant followed by VHP O 2 reoxidation (2000) (0)
- Characterization of Preclean Induced Surface Damage by Rapid Thermal Processing (1991) (0)
- Device and Technology Platform with Silicon Nanowire (Invited) (2008) (0)
- olyoxide :Films Grown by Rapid Thermal Processing (1987) (0)
- Efficient Nonlinear Activation Function in Optical Neural Network (2020) (0)
- Synthesis and transistor performances of high quality single crystalline vapor-liquid-solid grown Si1-xGex nanowire (2007) (0)
- Physical random bit generation using mesoscopic chaos in silicon optomechanical oscillators (2018) (0)
- A nanomachined torque sensor with ultrahigh sensitivity (2016) (0)
- Surface nanostructured high efficiency vertical wire-based solar cell (2010) (0)
- Design of a bidirectional WDM module for multi-channel transceivers (2007) (0)
- Degradation of thin Si02 sidewall spacers during selective epitaxial growth for the fabrication of raised source/drain MOSFETs (1995) (0)
- Characterization of Silicon Mach-Zehnder Modulator in 20-Gbps NRZ-BPSK Transmission (2013) (0)
- Sampling timing jitter in dispersion-managed frequency microcombs via a fiber interferometer (2022) (0)
- Work function tunability by incorporating lanthanum and aluminum into refractory metal nitrides and a feasible integration process (2006) (0)
- Electrical properties of thin reoxidised nitrided interpolyoxides prepared by rapid thermal processing (1989) (0)
- Correction to “A Miniaturization Strategy for Harvesting Vibration Energy Utilizing Helmholtz Resonance and Vortex Shedding Effect” (2014) (0)
- An Independent Double-Gate Thin Film FinFET Featuring Lithography-Free Channel Length Definition (2012) (0)
- Performance analysis of nonvolatile gate-all-around charge-trapping TAHOS memory cells (2009) (0)
- Bit-error-rate performance OF 10-Gb/s silicon Mach-Zehnder modulator module in 100-km optical-fiber transmisson (2013) (0)
- Nano actuator and “pull-back” nonlinearity (2013) (0)
- A 7 . Superior Performance and Reliability of MOSFETs with Ultrathin Gate Oxides Prepared by Conventional Furnace Oxidation of Si in Pure N 20 Ambient (2004) (0)
- A Novel Approach to Fabricate ~120 nm Thick Fully Relaxed Ge-on-Insulator (2007) (0)
- Deterministic double DKS generation in an 88 GHz single-mode Si3N4 microring with controlled spacing (2022) (0)
- Spontaneous microwave platicon frequency microcomb in dispersion-managed microresonators (2022) (0)
- Highly Reliable Oxynitride Gate Dielectrics for Dual Gate CMOS Applications (1993) (0)
- Silicon-Waveguide Based Silicide Schottky- Barrier Infrared Detector for on-Chip Applications (2012) (0)
- Time‐dependent breakdown of oxynitride gate dielectrics under unipolar ac stress (1992) (0)
- Monolithically integrated silicon-based Mach-Zehnder modulator for digital coherent communication (2014) (0)
- RF passive devices on Si substrates with close to ideal EM performance (2003) (0)
- Wu Switching Behaviors of HfO 2 / NiSi x Based RRAM R (2013) (0)
- Horizontal Cu/SiO2/Si/SiO2/Cu plasmonic waveguide components integrated in silicon photonic circuits (2012) (0)
- Temperature Characterization of Pulsed Laser Annealing of Semiconductors (1982) (0)
- Avalanche electron injection in ultrathin oxides grown in N2O ambient (1992) (0)
- Title a 0.18m Cmos 802.15.4a Uwb Transceiver for Communication and Localization (2016) (0)
- DUAL MODE SWITCH USING WITCHABLE METAMATERIAL (2011) (0)
- Competing Faraday and Modulational Instabilities in Dispersion-Managed High-Q Microcavities (2019) (0)
- A comparison study of high-density MIM capacitors with ALD HfO/sub 2/-Al/sub 2/O/sub 3/ laminated, sandwiched and stacked dielectrics (2004) (0)
- Self-excited relaxation oscillation in optomechanical ring resonator for sensing applications (2015) (0)
- Waveform dynamics in air-slot photonic crystal optomechanical oscillators (2017) (0)
- Hybrid dual comb spectroscopy with largely dissimilar FSR (2018) (0)
- A New Gate Dielectric HfLaO with Metal Gate Work Function Tuning Capability and Superior NMOSFETs Performance (2005) (0)
- Cu-insulator-Si hybrid plasmonic waveguide based CMOS-compatible Nanophotonic Devices (2013) (0)
- MOSFET characteristics of ultra thin CVD Si3N4 gate dielectrics (1998) (0)
- Dependence of MOSFET hot-carrier aging on PECVD oxide process (1995) (0)
- Multi-Spectral Regenerative Frequency Microcombs with Coherent Satellite Clusters (2020) (0)
- Suppressed boron penetration in P/sup +/-poly PMOSFETs with NO-nitrided SiO/sub 2/ gate dielectrics (1995) (0)
- Strained Ge-rich SiGe Nanowire pFETs with High- κ/Metal Gate Fabricated using Germanium Condensation Technique (2007) (0)
- Active MEMS metamaterial with uniaxially isotropic dual band switching characteristics in terahertz region (2015) (0)
- Bendable High-Performance Electronic Devices (Active Transistor, High-Density Interconnect and Passive-MIM Capacitors) on Flexible Organic-Substrate (2006) (0)
- High sensitivity and large measurement range refractometric sensing based on Mach-Zehnder interferometer (2016) (0)
- Growth of Thin Epitaxial Silicon Layers on Heavily Doped Substrates by RTP-CVD (1989) (0)
- Refractometric Optical Sensing by Using Photonics Mach–Zehnder Interferometer (2016) (0)
- 1 A Novel Approach to Fabricate ~ 120 nm Thick Fully Relaxed Geon-Insulator (2007) (0)
- Interface dipole mechanism and NMOS Ni-FUSI gate work function engineering using rare-earth metal (RE)-based dielectric interlayers (2007) (0)
- Characteristics of BaxSr1-xTiO3 thin films by metallorganic chemical vapor deposition for ultrahigh-density DRAM application (1997) (0)
- Time-domain demonstrations of slow-light in multi-coupled photonic crystal cavities (2010) (0)
- Mid-Infrared Aluminum Nitride on Insulator (AlNOI) Platform (2019) (0)
- EFFECT OF FURNACE PREANNEAL AND RAPID THERMAL ANNEALING ON ARSENIC-IMPLANTED SILICON (1985) (0)
- Low temperature MOSFET technology with Schottky barrier source/drain, high-K gate dielectrics and metal gate electrode (2003) (0)
- Effects of ambipolar excess carrier diffusion in pulsed laser annealing of semiconductors (1981) (0)
- Coherent phase-locked single-mode frequency microcombs in the C and L bands without mode-crossing disruptions (2016) (0)
- Quasi-breakdowns in ultrathin dielectrics (1997) (0)
- Solar Cells: Novel Silicon Nanohemisphere‐Array Solar Cells with Enhanced Performance (Small 22/2011) (2011) (0)
- Low Temperature GAA Poly-Si Nanowire TFT SONOS Memory for MLC Application (2008) (0)
- Electrical Sensing of Calcium Ions using Silicon Nanowire Array (2007) (0)
- Two-dimensional Photonic Crystal Patterns for Vertical Light Extraction Enhancement from Ultra-thin Amorphous Si/Si3N4 Multilayer stack (2008) (0)
- 16 Negative Index Photonic Crystals Superlattices and Zero Phase Delay Lines C (2018) (0)
- Effects of various RIE process-induced damages on MOSFET characteristics (1995) (0)
- Switching Behaviors of TiN/HfOx/Pt Based RRAM (2013) (0)
- Minimum detectable strain improvement in junctionless nanowire FET sensors (2012) (0)
- Highly reliable CVD-stacked oxynitride gate dielectric fabricated by in-situ rapid thermal multiprocessing (1995) (0)
- Stabilized on-chip optical frequency comb (2015) (0)
- Embedded metallic nanoparticles for on-chip infrared detection (2012) (0)
- CMOS-Compatible Plasmonic Nanocircuits for On-Chip Integration (2013) (0)
- Electrical Properties of MOSFETs with N2O-Nitrided LPCVD SiO2 Gate Dielectrics (1992) (0)
- Strained germanium MOSFETs: Devices and process technology (2004) (0)
- Creation of Strained and Relaxed SiGe films simultaneously through Ge condensation on SOI (2005) (0)
- Controlled zero-n̅ bandgaps in negative refraction photonic superlattices for wavefront control and open resonances (2009) (0)
- Anomalous Transient Diffusion of Ion Implanted Boron during Rapid Thermal Annealing (1989) (0)
- Ferroelectronic Thin Films Based Devices and Structures in oDtoelectronic Computing System (0)
- Band edge NMOS work function for nickel fully-silicided (FUSI) gate obtained by the insertion of novel Y-, Tb-, and Yb-based interlayers (2007) (0)
- P‐127: A ZnO Based Heterostructured n‐i‐n Light‐Emitting Diode by Low‐Cost Ultrasonic Spray Pyrolysis (2008) (0)
- Nanometric precision metrology based on hybrid spectrally-resolved and homodyne interferometry via a single soliton microcomb (2021) (0)
- Dopant Segregated Pt-Germanide Schottky S/D p-MOSFET with HfO2/TaN gate on Strained Si-SiGe channel (2006) (0)
- Improving electrical properties of CVD HfO2 by multi-step deposition and annealing in a gate cluster tool (2003) (0)
- Chip-scale turing frequency comb for coherent high-power THz radiation (2017) (0)
- Polarity dependence of charge to breakdown and interface state generation of oxynitride gate dielectrics prepared by rapid thermal processing (1990) (0)
- Structural efficiency boost heralds third-generation solar cells (2011) (0)
- Observations of dynamical chaos in silicon-based optomechanical cavities (2016) (0)
- Interfacial Layer in High-k Dielectrics: Characterization and Suppression (2006) (0)
- Effects at ambipolar assess carrier diffusion in pulsed laser annealing of semiconductors (1981) (0)
- Oxide Based Resistive Random Access Memory Device for High Density Non Volatile Memory Applications (2013) (0)
- Observation of deterministic double dissipative-Kerr-soliton generation with avoided mode crossing (2023) (0)
- ON-CHIP REFRACTIVE INDEX MEASUREMENT VIA INTERFACIAL REFRACTION OF TWO PHASE FLOW STREAMS (2010) (0)
- Real-time observation of breathing soliton and soliton molecules dynamics in strong coupled microcavity (2021) (0)
- Silicon epitaxial growth on silicon‐on‐insulator structures by rapid thermal processing chemical vapor deposition (1990) (0)
- A Novel Micromechanical Resonator Using Two-Dimensional Phononic Crystal Slab (2011) (0)
- Silicon photonic integrated circuits: from devices to integration (2011) (0)
- Multi-bit memory cell using long-range non-anchored actuation for high temperature applications (2013) (0)
- RTP-CVD of Si Materials and Devices for ULSI Applications (1991) (0)
- Study of NBTI Stress in P+-Poly PMOSFETs with Gate Oxides Grown on Nitrogen Implanted Si Substrates (1998) (0)
- Stochastic bistable switching in CMOS-processed PECVD silicon nitride ring resonators (2012) (0)
- MOCVD HfAlxOy Gate Dielectrics Deposited Using Single Cocktail Liquid Source (2003) (0)
- Structural Investigation of Photoluminescent Porous Si by Transmission Electron Microscopy (1992) (0)
- Top-Surface Aluminized and Nitrided Hafnium Oxide Using Synthesis of Thin AlN and HfO2 Stacked Layer (2004) (0)
- Low power silicon photonics switch (2010) (0)
- Generation of single-mode and phase-locked frequency microcombs without mode crossings (2016) (0)
- High-efficiency thermal-tunable microring resonators made of Cu-dielectric-Si hybrid plasmonic waveguides (2014) (0)
- Nano-optofluidics for single molecule detection and sorting (2012) (0)
- Effects on TiN Film Properties of the Interaction Between Titanium and Borophosphosilicate Glass During High-Temperature Nitridation (1990) (0)
- Silicon Compatible Materials, Processes, and Technologies for Advanced Integrated Circuits and Emerging Applications 3 : Preface (2011) (0)
- Transparent high-data-rate optical transmission through broadband hitless bypass switches for chip-scale optical networks (2010) (0)
- A process for producing high-pressure silicon oxynitride (oxynitride) gate dielectrics for metal oxide semiconductor (MOS) devices with P + polycrystalline type silicon (polysilicon) gate electrode (1996) (0)
- Angle Resolved X-Ray Photoelectron Spectroscopy Study of Ultrathin N2O Oxides (1992) (0)
- Comparison of -MOSFET lifetime estimates based on GIDL enhancement and transconductance degradation as criteria (1992) (0)
- Silicon-Nanowire MOSFETs (2008) (0)
- Visible Light Emission from Controlled α-Si/SiN Multi-layer Structures (2007) (0)
- A Nanoelectromechanical Tunable Oscillator Base on a High-Q Optical Cavity (2016) (0)
- A low-frequency chip-scale optomechanical oscillator with 58 kHz mechanical stiffening and more than 100th-order stable harmonics (2017) (0)
- Parametrically driven inertial sensing in chip-scale optomechanical cavities at the thermodynamical limits with extended dynamic range (2022) (0)
- Breather solitons dynamics and period-doubling transitions in 19 GHz microresonator frequency combs (2018) (0)
- Structure de laser à semi-conducteurs accordable encapsulée et sa fabrication (2007) (0)
- A high efficiency frequency pre-defined flow-driven energy harvester dominated by on-chip modified Helmholtz Resonating cavity (2014) (0)
- Reliability issues in submicron MOSFETs with oxynitride gate dielectrics (1993) (0)
- Effects of reoxidation on the hot-carrier immunity of 0.6 mu m MOSFETs with nitrided oxides (1990) (0)
- Method of forming oxide film, method of forming reformed oxide film, method of forming high-quality oxide film, and method of forming tunnel and gate oxide film. (1995) (0)
- Bichromatically-pumped coherent Kerr frequency combs with controllable repetition rates (2016) (0)
- A Phase-Coherent On-Chip Single-Photon SWAP Gate (2022) (0)
- Deterministic phase-control and resonance-detuning in optical EIT-like coupled resonances towards dynamical storage of light (2009) (0)
- A cost-effective electrical tracing-assisted silicon microring biosensor (2012) (0)
- Light Emission Near 1.3 m Using ITO-Al O -Si Ge -Si Tunnel Diodes (2004) (0)
- Breakdown voltages of ion‐implanted junctions (1985) (0)
- Energy-efficient Germanium electro-absorption modulator for ‘Green’ photonics applications (2010) (0)
- New reliability issues of CMOS transistors with 1.3 nm thick gate oxide (2003) (0)
- Work Function Modulation Using Thin Interdiffused Metal Layers for Dual Metal-Gate Technology (2006) (0)
- Impact of Oxygen during Post-Gate Processing on MOS Device Characteristics (1991) (0)
- NANO-OPTOFLUIDICS FOR SINGLE MOLECULE DETECTION (2012) (0)
- Effect of nickel silicide induced dopant segregation on vertical silicon nanowire diode performance (2012) (0)
- Charge Trapping and Bias Temperature Instability in High-k Dielectric CMOS Transistors (2006) (0)
- Wideband switch enhanced by photonic crystal waveguide with graphene nanosheet heater (2021) (0)
- ' " SPIE-The International Society for Optical Engineering Microelectronic Processes , Sensors , and Controls (2008) (0)
- Frequency instability and phase noise characterization of an integrated chip-scale optomechanical oscillator (2015) (0)
- Ultrashort pulse mode-locking from a normal-dispersion on-chip Kerr frequency comb (2014) (0)
- Material processing and advanced well structures using high-energy implantation for EPI replacement (1995) (0)
- Scalability and reliability of TaN/HfN/HfO/sub 2/ gate stacks fabricated by a high temperature process (2005) (0)
- A novel surface passivation process for HfO/sub 2/ Ge MOSFETs (2004) (0)
- Multi-Layer High-K Gate Stack Materials for Low Dit 4H-SiC Based MOSFETs (2022) (0)
- Monte Carlo Simulation of p- and n-channel GOI MOSFETs by Solving the Quantum (2005) (0)
- Sampling sub-THz phase noise in frequency microcombs via fiber interferometry (2021) (0)
- New developments in schottky source/drain high-k/metal gate CMOS transistors (2005) (0)
- ZnO/Si Heterostructured Light-emitting Diodes by MOCVD (2008) (0)
- Device Physics and Modeling of Organic Transistor Sensors (2003) (0)
- Strong Light Output from Thin SRO/SiO 2 Multilayers with Photonic Crystal Patterns (2008) (0)
- Real-time spectral dynamics of femtosecond solitons under free-carrier nonlinearity in silicon photonic crystals (2015) (0)
- Monolithically fabricated germanium-on-SOI photodetector and Si CMOS circuit for integrated photonic applications (2010) (0)
- Improving performance with itride gate dielectrics (1998) (0)
- Gate-tunable frequency combs in graphene–nitride microresonators (2018) (0)
- Enhanced Degradation in P + -Poly PMOSFETs With Oxynitride Gate Dielectrics Under Hot-Hole Injection (1999) (0)
- Correlation between Chemical Structure and Electrical Properties of NH3-Nitrided N2O Oxides (1993) (0)
- Device Performance and Reliability Considerations of Biaxially Strained Si by Wafer-Bonding-Technology (2007) (0)
- Improved hot-carrier reliability of MOSFET analog performance with NO-Nitrided SiO2 gate dielectrics (1995) (0)
- Lotus-Like Dual Soliton Generation and Phase Shifting in an 88 GHz High-Order-Mode-Suppressed Si3N4 Microring (2019) (0)
- Subharmonics radio-frequency division in chip-scale optomechanical oscillators (2015) (0)
- An integrated high-extinction-ratio low-loss polarization rotator for silicon photonics across C+L bands (2017) (0)
- Overview of Recent Developments in RT-CVD Technology for ULSI Material Processing and Device Fabrication (2014) (0)
- Experimental verification of phononic crystal slab based silicon microresonators (2013) (0)
- New Developments in Schottky Source/Drain High-k/Metal Gate CMOS Transistos (2006) (0)
- A novel low aspect-ratio Si nano-hemisphere surface texturing scheme for ultrathin film solar cells (2011) (0)
- Generation and stabilization of on-chip optical frequency comb (2015) (0)
- Transport Characteristics of Si Nanowires in Bulk Silicon and SOI Wafers (2006) (0)
- Deterministic resonance and phase control for photonic sub-and super-radiance in coupled nanocavities (2011) (0)
- Chip-scale integrated tuning of slow-light in all-optical multi-EIT analogue in photonic crystal cavities (2010) (0)
- Ultrathin Ta2O5 Films on Rapid Thermal Nitrided Rugged Polysilicon for High Density DRAMs (1992) (0)
- Photonic crystal waveguide coupling analysis using swept wavelength interferometry (2012) (0)
- Ultrafast Real-Time Dynamics of Frequency Microcomb Transitions (2020) (0)
- All-Si Metasurface Polarizing Bandpass Filter Mass Produced on 12 Inch Wafer (2019) (0)
- On-chip Turing pattern formation for coherent high-power THz radiation (2016) (0)
- Broadly tunable THz radiation through nonlinear microresonator (2021) (0)
- HIGH QUALITY SELECTIVE EPITAXY GROWTH OF SILICON FOR DEVICE ISOLATION (1989) (0)
- Si-based epitaxial growth by rapid thermal processing chemical vapor deposition (1991) (0)
- Method for forming epitaxial silicon in insulated structure (1989) (0)
- Dynamical Chaos in Silicon Cavity Optomechanics for Physically-Encrypted Secure Communications (2019) (0)
- Si Quantum Dot TFT Nonvolatile Memory for System-On-Panel Applications (2007) (0)
- Growth and Characterization of Germanium on Insulator (GOI) from Sputtered Ge by Novel Single and Dual Necking techniques (2005) (0)
- A chip-scale single-photon SWAP gate as integrated interface between polarization and spatial-momentum qubits (2017) (0)
- Comprehensive Characterization and Analysis of RTS, 1/f, RF Noise and Power Performances of Schottky-Diode in Standard CMOS (2006) (0)
- Broadband χ(2) and χ(3) nonlinear frequency up-conversion from planar silicon nitride microcavities (2019) (0)
- Electrical properties of Si-implanted gate oxides (1993) (0)
- Stress Mapping of Silicon Surrounded by Various Through Silicon Via (TSV) Patterns using Polychromator-Based Multi-Wavelength Raman Spectroscopy (2010) (0)
- radiation-pressure-driven (2014) (0)
- Horizontal nanoplasmonic slot waveguide-based subwavelength photonic components for on-chip integration (2011) (0)
- Silicon integrated electronic-photonic ICs (2010) (0)
- Pt-germanide Formed by Laser Annealing and Its Application for Schottky Source/Drain MOSFET Integrated with TaN/CVD-HfO2/Ge Gate Stack (2007) (0)
- Proceedings - Electrochemical Society: Preface (2005) (0)
- Switching Behaviors of HfO2/NiSix Based RRAM (2013) (0)
- Electrical and Reliability Characteristics of Submicron Nmosfet's with Oxynitride Gate Dielectric Prepared by Rapid Thermal Oxidation in N/sub 2/O (1991) (0)
- A tunable laser using double-ring resonator external cavity via free-carrier dispersion effect (2011) (0)
- In-situ Multiprocessing ULSI Manufacturing Technology by RTP-CVD (1990) (0)
- A novel approach in modeling the diffusion of ion-implanted species during annealing (1995) (0)
- Observation of synchronization in air-slot photonic crystal optomechanical oscillator (2017) (0)
- Schottky Source/Drain Transistor on Thin SiGe on Insulator Integrated with HfO2/TaN Gate Stack (2006) (0)
- Electrical characteristics of n- and p-MOSFETs with N2O-reoxidized NH3-nitrided N2O oxides as gate dielectrics (1995) (0)
- Enhanced Thermal Stability of Nickel Germanide with Ultrathin Ti Layer (2007) (0)
- Performance and Reliability of Ultrathin Oxynitride Gate Dielectrics Prepared using In-Situ Multiple Rapid Thermal Processing (1989) (0)
- Improved radiation hardness of MOS devices with ultrathin nitrided oxide gate dielectrics prepared by rapid thermal processing (1989) (0)
- Real-time high-sensitivity sensing of liquid films in microfluidic channels with optofluidic microresonators (2010) (0)
- Undoped White Organic Light-Emitting Diodes Utilizing Two Sources of Excitons (2007) (0)
- A Novel Approach to fabricate High Ge content SiGe on Insulator from Amorphous SiGe deposited on SOI wafers (2005) (0)
- Integrated Fabless Manufacturing : Opportunities and Challenges in the Era of IoT (特集 IoTの現状と動向) (2015) (0)
- A Self-Aligned Silicide Technology using Ion-Beam Mixing, Doped Silicide, and Rapid Thermal Processing (1989) (0)
- Semiconductor Nanowires: from Growth to Device Applications (Invited) (2008) (0)
- Simple quasi-two-dimensional analytical model to characterise the electric field in an LDD MOSFET (1990) (0)
- Enhancement-Mode In 0.53 Ga 0.47 As n-MOSFET with Self-aligned Gate-first Process and CVD HfAlO Gate Dielectric (2008) (0)
- Development of Si Light Emitting Technology Based on Si Quantum Wires. (1994) (0)
- MOCVD 법에 의한 BaxSr1-xTiO3 박막의 물리적 , 전기적 특성에 관한 연구 ( Physical and Electrical Properties of BaxSr1-xTiO3 Thin Films Prepared by Metalorganic Chemical Vapor Deposition ) (1996) (0)
- Study on Densification and Oxidation Mechanism during PDA for Minimum EOT of Ultrathin CVD HfO2 (2002) (0)
- Thermal Stability of Metal Gate Work Functions (2004) (0)
- Dopant Enhanced Low-Temperature Epitaxial Growth by Rapid Thermal Processing Chemical Vapor Deposition (1991) (0)
- Investigation of the mutual effect between power link and data link for biomedicai applications (2012) (0)
- Interface confiauration and Fermi-level dinnina of fullv silicided aate and hiah-K dielectric stack (2006) (0)
- Broadband gate-tunable terahertz plasmons in graphene heterostructures (2017) (0)
- Improved crystallization temperature and interfacial properties of HfO/sub 2/ gate dielectrics by adding Ta/sub 2/O/sub 5/ with TaN metal gate (2004) (0)
- Mim Capacitors with HfO 2 and HfAlO x for Si RF and Analog Applications (2003) (0)
- Ultra-Thin High Quality Oxynitride Formed by NH3 Nitridation and High Pressure O2 Re-oxidation (2000) (0)
- A micromachined injection-locked laser via tunable grating mirror (2010) (0)
- AN OPTOFLUIDIC TUNABLE PRISM VIA CONTROL OF FLOW RATE RATIO (2010) (0)
- Uniaxially Strained SiGe/Si Core/Shell Nanowire pFETs Integrated on Bulk Si with Ni x Si y Ge 1- x - y Source and Drain Contacts (2008) (0)
- Vertical Nanowall Array Covered Silicon Solar Cells (2012) (0)
- Memory Effect of Device Based on a Conjugated Donor-Acceptor Copolymer (2005) (0)
- Near-field observation of zero index bandgaps in negative refraction photonic superlattices (2011) (0)
- Dispersion-corrected metasurface for beam deflector and flat lens (2016) (0)
- Electrical and Chemical Properties of ultra thin RTMOCVD Deposited Ti-doped Ta₂O 5 (2001) (0)
- Sub 100 fs pulse generation via a Si3N4 micro-resonator based frequency comb (2013) (0)
- Lotus-Like Dual Soliton Generation and Phase Shifting in an 88 GHz High-Order-Mode-Suppressed Si 3 N 4 Microring (2019) (0)
- Boron segregation in As-implanted Si due to electric field and transient enhanced diffusion (1997) (0)
- New reliability issues of CMOS transistors with 1.3 nm gate oxide (2003) (0)
- High Quality Ultrathin Gate Dielectrics Prepared by In-Situ RTP (1995) (0)
- NEMS spectrometer-on-a-chip (2015) (0)
- Vertical Silicon Nanowire CMOS Inverter (2012) (0)
- Silicon Thin Film with Si Nanopillar Surface Decoration for Solar Cell Application (2009) (0)
- A novel MEMS configuration for three dimensional fine positioning and mechanical fixing of a ball lens in the packaging of silicon photonics (2011) (0)
- Superior Immunity to the Effects of Plasma-Induced Charging Damage on the Hot-Carrier Reliability of MOSFET's with NO-nitrided SiO2 Gate Dielectrics (1995) (0)
- High quality Si1-xGex nanowire and its application to MOSFET integrated with HfO2/TaN/Ta gate stack (2007) (0)
- Hot-Carrier Related Phenomenon in MOSFETs with Furnace N2O-Nitrided Gate Oxides (1993) (0)
- Scaling properties of GOI MOSFETs in nano scale by full band Monte Carlo simulation (2004) (0)
- A Novel Silicided Shallow Junction Technology for Cmos VLSI (1986) (0)
- Si and GexSi1−x Epitaxial Growth on SOI Structures by Rapid Thermal Processing Chemical Vapor Deposition (1991) (0)
- 100 nm Gate Length Pt-Germanosilicide Schottky S/D PMOSFET on SGOI substrate fabricated by novel condensation approach (2006) (0)
- Observations of temporal regenerative oscillations in high-Q heterostructured photonic crystal cavities (2012) (0)
- Coherent terahertz radiation with 2.8-octave tunability through chip-scale photomixed microresonator optical parametric oscillation (2022) (0)
- Low-voltage carrier-depletion silicon Mach-Zehnder modulator at high temperatures without thermo-electric cooling (2016) (0)
- TDDB characteristics of ultra-thin HfN/HfO/sub 2/ gate stack (2004) (0)
- Materials and processing issues in the development of N2O/NO-based ultrathin oxynitride gate dielectrics for CMOS ULSI applications (1996) (0)
- Polarization-diverse soliton transitions and deterministic switching dynamics in strongly-coupled and self-stabilized microresonator frequency combs (2023) (0)
- A High Performance of Single Cell Imaging Detection with Deep Learning (2019) (0)
- MOVPE Prepared ZnO/Si Heterojunction Diodes with Dual Functions: Light-Emission and UV Photo-Detection (2007) (0)
- High Quality Ultrathin Gate Dielectrics Formation by Thermal Oxidation of Si in N2O. (2010) (0)
- CMOS-Compatible Deposited Materials for Photonic Layers Integrated above Electronic Integrated Circuit (2013) (0)
- Gate-All-Around 4-nm Silicon Nanowire Schottky Barrier MOSFET with 1-D NiSi Source/Drain (2008) (0)
- Design of taper coupler for effective laser and single mode fiber coupling with large tolerance (2007) (0)
- Technology and Reliability Aspects of Ultra Thin Dielectrics for ULSI Applications (1993) (0)
- Highly Reliable Ultra Thin Gate Dielectrics for Dual-Gate CMOS Devices (1995) (0)
- A fully integrated chip-scale optomechanical oscillator (2014) (0)
- ALD HfO 2 Based RRAM with Ti Capping R World (2013) (0)
- Dual Mode Mid-Infrared Chemical Sensor Using Bragg Wavelength in Subwavelength Grating Incorporated Broadband Directional Coupler (2019) (0)
- Highly Manufacturable CMOSFETs with Single High-k (HfLaO) and Dual Metal Gate Integration Process (2007) (0)
- Investigation of (HfO2)x(Al2O3)1-x on (100) Si by XPS--energy gap and band alignment (2002) (0)
- Design and fabrication of a novel evanescent germanium electro-absorption (EA) modulator (2010) (0)
- Microwave frequency mixer in integrated photonic circuits for signal processing (2016) (0)
- Cost effective optical coupling for enhanced rate polymer optical fiber communication (2008) (0)
- NICAL ( NOM ) ACOUSTIC WAVEFRO VIA RING RESONATORS (2013) (0)
- N2O-Based Gate And Tunneling Dielectrics for VLSI Applications (1993) (0)
This paper list is powered by the following services:
What Schools Are Affiliated With Dim‐lee Kwong?
Dim‐lee Kwong is affiliated with the following schools: