Krishna Saraswat
#23,825
Most Influential Person Now
American engineer
Krishna Saraswat's AcademicInfluence.com Rankings
Krishna Saraswatengineering Degrees
Engineering
#454
World Rank
#775
Historical Rank
Electrical Engineering
#100
World Rank
#116
Historical Rank
Applied Physics
#478
World Rank
#496
Historical Rank
Download Badge
Engineering
Krishna Saraswat's Degrees
- PhD Electrical Engineering Stanford University
- Masters Electrical Engineering Stanford University
Why Is Krishna Saraswat Influential?
(Suggest an Edit or Addition)According to Wikipedia, Krishna Saraswat is a professor in Stanford Department of Electrical Engineering in the United States. He is an ISI Highly Cited Researcher in engineering, placing him in the top 250 worldwide in engineering research, and a recipient of IEEE's Andrew S. Grove Award for "seminal contributions to silicon process technology".
Krishna Saraswat's Published Works
Published Works
- 3-D ICs: a novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration (2001) (1009)
- Nanometre-scale germanium photodetector enhanced by a near-infrared dipole antenna (2008) (648)
- Interconnect limits on gigascale integration (GSI) in the 21st century (2001) (516)
- Double-Gate Strained-Ge Heterostructure Tunneling FET (TFET) With record high drive currents and ≪60mV/dec subthreshold slope (2008) (494)
- Three-dimensional integration of nanotechnologies for computing and data storage on a single chip (2017) (448)
- Germanium nanowire field-effect transistors with SiO2 and high-κ HfO2 gate dielectrics (2003) (396)
- On the Correct Extraction of Interface Trap Density of MOS Devices With High-Mobility Semiconductor Substrates (2008) (363)
- Two-dimensional thermal oxidation of silicon. II. Modeling stress effects in wet oxides (1988) (345)
- Dopant segregation in polycrystalline silicon (1980) (338)
- Achieving direct band gap in germanium through integration of Sn alloying and external strain (2013) (335)
- Improved Contacts to MoS2 Transistors by Ultra-High Vacuum Metal Deposition. (2016) (326)
- Effect of scaling of interconnections on the time delay of VLSI circuits (1982) (313)
- Germanium MOS capacitors incorporating ultrathin high-/spl kappa/ gate dielectric (2002) (308)
- Activation and diffusion studies of ion-implanted p and n dopants in germanium (2003) (253)
- The effect of fluorine in silicon dioxide gate dielectrics (1989) (226)
- Effects of crystallization on the electrical properties of ultrathin HfO2 dielectrics grown by atomic layer deposition (2003) (224)
- Technology and reliability constrained future copper interconnects. I. Resistance modeling (2002) (220)
- Electrical and materials properties of ZrO2 gate dielectrics grown by atomic layer chemical vapor deposition (2001) (193)
- Engineering chemically abrupt high-k metal oxide∕silicon interfaces using an oxygen-gettering metal overlayer (2004) (186)
- Two-dimensional thermal oxidation of silicon—I. Experiments (1987) (183)
- Border traps in Al2O3/In0.53Ga0.47As (100) gate stacks and their passivation by hydrogen anneals (2010) (182)
- Germanium n-type shallow junction activation dependences (2005) (172)
- Power comparison between high-speed electrical and optical interconnects for interchip communication (2004) (169)
- Ge-Interface Engineering With Ozone Oxidation for Low Interface-State Density (2008) (168)
- Structure and Stability of Low Pressure Chemically Vapor‐Deposited Silicon Films (1978) (167)
- Physical mechanisms of electron mobility enhancement in uniaxial stressed MOSFETs and impact of uniaxial stress engineering in ballistic regime (2005) (164)
- Fermi level depinning in metal/Ge Schottky junction for metal source/drain Ge metal-oxide-semiconductor field-effect-transistor application (2009) (158)
- Thermal nitridation of Si and SiO2for VLSI (1985) (157)
- Room temperature 1.6 microm electroluminescence from Ge light emitting diode on Si substrate. (2009) (156)
- Modeling and measurement of contact resistances (1987) (155)
- Effects of hydrogen annealing on heteroepitaxial-Ge layers on Si: Surface roughness and electrical quality (2004) (149)
- A model for conduction in polycrystalline silicon—Part I: Theory (1981) (138)
- Electrical properties of heavily doped polycrystalline silicon-germanium films (1994) (133)
- Interfacial characteristics of HfO2 grown on nitrided Ge (100) substrates by atomic-layer deposition (2004) (131)
- Atomic layer deposition of ZrO2 on W for metal-insulator-metal capacitor application (2003) (130)
- Direct bandgap germanium-on-silicon inferred from 5.7% 〈100〉 uniaxial tensile strain [Invited] (2014) (128)
- Monolithic 3D integration of logic and memory: Carbon nanotube FETs, resistive RAM, and silicon FETs (2014) (128)
- A sub-400/spl deg/C germanium MOSFET technology with high-/spl kappa/ dielectric and metal gate (2002) (127)
- High performance germanium MOSFETs (2006) (126)
- Nanoscale germanium MOS Dielectrics-part I: germanium oxynitrides (2006) (126)
- High-mobility ultrathin strained Ge MOSFETs on bulk and SOI with low band-to-band tunneling leakage: experiments (2006) (124)
- Local epitaxial growth of ZrO2 on Ge (100) substrates by atomic layer epitaxy (2003) (122)
- Technology and reliability constrained future copper interconnects. II. Performance implications (2002) (119)
- Atomic layer deposition of high-/spl kappa/ dielectric for germanium MOS applications - substrate (2004) (119)
- Multiple Si layer ICs: motivation, performance analysis, and design implications (2000) (117)
- Low-threshold optically pumped lasing in highly strained germanium nanowires (2017) (114)
- Thermal analysis of heterogeneous 3D ICs with various integration scenarios (2001) (113)
- Ge based high performance nanoscale MOSFETs (2005) (111)
- Strained germanium thin film membrane on silicon substrate for optoelectronics. (2011) (108)
- Scalability and electrical properties of germanium oxynitride MOS dielectrics (2004) (108)
- Strain-induced pseudoheterostructure nanowires confining carriers at room temperature with nanoscale-tunable band profiles. (2013) (108)
- Increase in current density for metal contacts to n-germanium by inserting TiO2 interfacial layer to reduce Schottky barrier height (2011) (107)
- Thin film MOSFET's fabricated in laser-annealed polycrystalline silicon (1979) (105)
- GeSn technology: Extending the Ge electronics roadmap (2011) (103)
- 56 Gb/s Germanium Waveguide Electro-Absorption Modulator (2016) (102)
- Properties of low-pressure CVD tungsten silicide for MOS VLSI interconnections (1983) (102)
- Effective dark current suppression with asymmetric MSM photodetectors in Group IV semiconductors (2003) (102)
- Demonstration of a Ge/GeSn/Ge quantum-well microdisk resonator on silicon: enabling high-quality Ge(Sn) materials for micro- and nanophotonics. (2014) (98)
- Deposition and Properties of Low‐Pressure Chemical‐Vapor Deposited Polycrystalline Silicon‐Germanium Films (1994) (98)
- Diffusion of arsenic in polycrystalline silicon (1982) (98)
- Roadmap to an Efficient Germanium-on-Silicon Laser: Strain vs. n-Type Doping (2012) (98)
- Compact modeling and SPICE-based simulation for electrothermal analysis of multilevel ULSI interconnects (2001) (96)
- Performance Comparisons Between Carbon Nanotubes, Optical, and Cu for Future High-Performance On-Chip Interconnect Applications (2007) (95)
- Correlation of trap generation to charge-to-breakdown (Q/sub bd/): a physical-damage model of dielectric breakdown (1994) (94)
- High-mobility low band-to-band-tunneling strained-Germanium double-gate heterostructure FETs: Simulations (2006) (90)
- Analytical thermal model for multilevel VLSI interconnects incorporating via effect (2002) (89)
- Nanoscale germanium MOS Dielectrics-part II: high-/spl kappa/ gate dielectrics (2006) (89)
- Device and materials requirements for neuromorphic computing (2019) (88)
- Ge (100) and (111) N- and P-FETs With High Mobility and Low- $T$ Mobility Characterization (2009) (88)
- Atomically abrupt and unpinned Al2O3/In0.53Ga0.47As interfaces: Experiment and simulation (2009) (88)
- C-shaped nanoaperture-enhanced germanium photodetector. (2006) (88)
- Power estimation in global interconnects and its reduction using a novel repeater optimization methodology (2002) (84)
- High-Mobility Ge N-MOSFETs and Mobility Degradation Mechanisms (2011) (84)
- High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs (2006) (84)
- High-performance germanium-seeded laterally crystallized TFTs for vertical device integration (1998) (83)
- Electroluminescence from Strained Ge membranes and Implications for an Efficient Si-Compatible Laser (2012) (81)
- Fabrication of high-quality p-MOSFET in Ge grown heteroepitaxially on Si (2005) (80)
- Rapid Thermal Nitridation of SiO2 for Nitroxide Thin Dielectrics (1985) (80)
- Highly selective dry etching of germanium over germanium-tin (Ge(1-x)Sn(x)): a novel route for Ge(1-x)Sn(x) nanostructure fabrication. (2013) (80)
- Theoretical Analysis of GeSn Alloys as a Gain Medium for a Si-Compatible Laser (2013) (78)
- Specific Contact Resistivity of Tunnel Barrier Contacts Used for Fermi Level Depinning (2010) (78)
- A 3‐dimensional model for low‐pressure chemical‐vapor‐deposition step coverage in trenches and circular vias (1991) (78)
- Metal/III-V Schottky barrier height tuning for the design of nonalloyed III-V field-effect transistor source/drain contacts (2010) (77)
- 7-nm FinFET CMOS Design Enabled by Stress Engineering Using Si, Ge, and Sn (2014) (77)
- Radical oxidation of germanium for interface gate dielectric GeO2 formation in metal-insulator-semiconductor gate stack (2009) (76)
- Optimization of extrinsic source/drain resistance in ultrathin body double-gate FETs (2003) (75)
- Schottky barrier height reduction for holes by Fermi level depinning using metal/nickel oxide/silicon contacts (2014) (75)
- Material characterization of high Sn-content, compressively-strained GeSn epitaxial films after rapid thermal processing (2013) (74)
- Interconnection and electromigration scaling theory (1987) (74)
- Phosphorus Doping of Low Pressure Chemically Vapor‐Deposited Silicon Films (1979) (73)
- Effect of physical stress on the degradation of thin SiO/sub 2/ films under electrical stress (2000) (72)
- Polycrystalline silicon-germanium thin-film transistors (1994) (71)
- Crystallization kinetics and microstructure-dependent leakage current behavior of ultrathin HfO2 dielectrics: In situ annealing studies (2004) (71)
- A strategy for modeling of variations due to grain size in polycrystalline thin-film transistors (2000) (70)
- Analysis and scaling of Kelvin resistors for extraction of specific contact resistivity (1985) (70)
- In situ acoustic temperature tomography of semiconductor wafers (1994) (70)
- Air-gap formation during IMD deposition to lower interconnect capacitance (1998) (67)
- Effect of via separation and low-k dielectric materials on the thermal characteristics of Cu interconnects (2000) (67)
- Direct Bandgap Light Emission from Strained Germanium Nanowires Coupled with High-Q Nanophotonic Cavities. (2015) (66)
- Influence of process-induced stress on device characteristics and its impact on scaled device performance (1999) (65)
- Ge–SiGe Quantum-Well Waveguide Photodetectors on Silicon for the Near-Infrared (2007) (65)
- Thermal stability of polycrystalline silicon electrodes on ZrO2 gate dielectrics (2002) (64)
- Optimization of the $\hbox{Al}_{2}\hbox{O}_{3}/ \hbox{GaSb}$ Interface and a High-Mobility GaSb pMOSFET (2011) (64)
- Controlled two-step solid-phase crystallization for high-performance polysilicon TFT's (1997) (63)
- Modeling, Identification, and Control of Rapid Thermal Processing Systems (1994) (63)
- Infrared Detectable MoS2 Phototransistor and Its Application to Artificial Multi-Level Optic-Neural Synapse. (2019) (63)
- Comparison of (001), (110) and (111) uniaxial- and biaxial- strained-Ge and strained-Si PMOS DGFETs for all channel orientations: Mobility enhancement, drive current, delay and off-state leakage (2008) (63)
- Kinetics of the thermal oxidation of WSi2 (1979) (61)
- Thin SiO2 insulators grown by rapid thermal oxidation of silicon (1985) (61)
- High-efficiency metal-semiconductor-metal photodetectors on heteroepitaxially grown Ge on Si. (2006) (60)
- Low-leakage germanium-seeded laterally-crystallized single-grain 100-nm TFTs for vertical integration applications (1999) (59)
- Experimental demonstration of high mobility Ge NMOS (2009) (59)
- Characterization of border trap generation in rapid thermally annealed oxides deposited using silane chemistry (1998) (59)
- Hole Mobility Enhancement in Compressively Strained ${\rm Ge}_{0.93}{\rm Sn}_{0.07}$ pMOSFETs (2013) (59)
- Investigation of the Changes in Electronic Properties of Nickel Oxide (NiOx) Due to UV/Ozone Treatment. (2017) (58)
- Low-temperature (<or=550 degrees C) fabrication of poly-Si thin-film transistors (1992) (58)
- Experimental characterization of single-walled carbon nanotube film-Si Schottky contacts using metal-semiconductor-metal structures (2008) (58)
- Monte Carlo low pressure deposition profile simulations (1991) (58)
- Thickness limitations of SiO/sub 2/ gate dielectrics for MOS ULSI (1990) (58)
- Rapid thermal processing uniformity using multivariable control of a circularly symmetric 3 zone lamp (1992) (57)
- A model for conduction in polycrystalline silicon&#8212;Part II: Comparison of theory and experiment (1981) (55)
- A two-dimensional analytical model of the cross-bridge Kelvin resistor (1986) (55)
- p-Channel Ge MOSFET by Selectively Heteroepitaxially Grown Ge on Si (2009) (54)
- Thermal oxidation of silicides (1984) (54)
- Compositional Studies of Thermally Nitrided Silicon Dioxide (Nitroxide) (1985) (54)
- The Effect of Donor/Acceptor Nature of Interface Traps on Ge MOSFET Characteristics (2011) (54)
- Improvement in High-$k$$(hboxHfO_2/hboxSiO_2)$Reliability by Incorporation of Fluorine (2006) (54)
- Hot-electron immunity of SiO/sub 2/ dielectrics with fluorine incorporation (1989) (54)
- Properties of Sputtered Tungsten Silicide for MOS Integrated Circuit Applications (1980) (53)
- A polycrystalline-Si/sub 1-x/Ge/sub x/-gate CMOS technology (1990) (52)
- A very low temperature single crystal germanium growth process on insulating substrate using Ni-induced lateral crystallization for three-dimensional integrated circuits (2007) (51)
- A germanium NMOSFET process integrating metal gate and improved hi-/spl kappa/ dielectrics (2003) (51)
- Device quality Sb-based compound semiconductor surface: A comparative study of chemical cleaning (2011) (50)
- High-Efficiency p-i-n Photodetectors on Selective-Area-Grown Ge for Monolithic Integration (2009) (50)
- Chemical states and electronic structure of a HfO(-2) / Ge(001) interface (2005) (49)
- SiO/sub 2/ degradation with charge injection polarity (1993) (49)
- Low temperature (≤ 380°C) and high performance Ge CMOS technology with novel source/drain by metal-induced dopants activation and high-k/metal gate stack for monolithic 3D integration (2008) (48)
- Investigation of the Performance Limits of III-V Double-Gate n-MOSFETs (2005) (47)
- Comparisons between electrical and optical interconnects for on-chip signaling (2002) (47)
- A monolithic integrated circuit fabricated in laser-annealed polysilicon (1980) (47)
- Low-threshold optically pumped lasing in highly strained Ge nanowires (2017) (47)
- PMOS transistors in LPCVD polycrystalline silicon-germanium films (1991) (46)
- The influence of Fermi level pinning/depinning on the Schottky barrier height and contact resistance in Ge/CoFeB and Ge/MgO/CoFeB structures (2010) (46)
- Effect of impurities on the fixed charge of nanoscale HfO2 films grown by atomic layer deposition (2006) (46)
- New test structure to identify step coverage mechanisms in chemical vapor deposition of silicon dioxide (1991) (46)
- Layered and homogeneous films of aluminum and aluminum/silicon with titanium and tungsten for multilevel interconnects (1985) (46)
- Impact of fixed charge on metal-insulator-semiconductor barrier height reduction (2011) (46)
- New materials for post-Si computing: Ge and GeSn devices (2014) (45)
- Resistivity of boron and phosphorus doped polycrystalline Si1−xGex films (1995) (44)
- Chemical Bonding, Interfaces, and Defects in Hafnium Oxide∕Germanium Oxynitride Gate Stacks on Ge(100) (2008) (44)
- An accurate method to extract specific contact resistivity using cross-bridge Kelvin resistors (1985) (44)
- Fluorine passivation of vacancy defects in bulk germanium for Ge metal-oxide-semiconductor field-effect transistor application (2012) (44)
- Fermi-level depinning in metal/Ge Schottky junction and its application to metal source/drain Ge NMOSFET (2008) (43)
- A Nanoscale Vertical Double-Gate Single-Transistor Capacitorless DRAM (2008) (43)
- Interface-Engineered Ge (100) and (111), N- and P-FETs with High Mobility (2007) (43)
- Metal-semiconductor-metal photodetectors based on single-walled carbon nanotube film–GaAs Schottky contacts (2008) (42)
- A variable-work-function polycrystalline-Si/sub 1-x/Ge/sub x/ gate material for submicrometer CMOS technologies (1991) (42)
- Compact Performance Models and Comparisons for Gigascale On-Chip Global Interconnect Technologies (2009) (42)
- Enhancing hole mobility in III-V semiconductors (2011) (42)
- A simple EEPROM cell using twin polysilicon thin film transistors (1994) (42)
- Chemical states and electrical properties of a high-k metal oxide/silicon interface with oxygen-gettering titanium-metal-overlayer (2006) (41)
- Constant Current Stress Breakdown in Ultrathin SiO2 Films (1993) (41)
- InxGa1-xSb channel p-metal-oxide-semiconductor field effect transistors: Effect of strain and heterostructure design (2011) (41)
- High n-Type Antimony Dopant Activation in Germanium Using Laser Annealing for $\hbox{n}^{+}/\hbox{p}$ Junction Diode (2011) (41)
- Reduction in Specific Contact Resistivity to $ \hbox{n}^{+}$ Ge Using $\hbox{TiO}_{2}$ Interfacial Layer (2012) (41)
- High performance germanium n-MOSFET with antimony dopant activation beyond 1×1020 cm−3 (2010) (41)
- Study of Carrier Statistics in Uniaxially Strained Ge for a Low-Threshold Ge Laser (2014) (40)
- Development of high-k dielectric for antimonides and a sub 350°C III–V pMOSFET outperforming Germanium (2010) (40)
- Zirconia grown by ultraviolet ozone oxidation on germanium (100) substrates (2004) (40)
- Silicon Germanium CMOS Optoelectronic Switching Device: Bringing Light to Latch (2007) (39)
- Simulation of Mass Transport for Deposition in Via Holes and Trenches (1991) (39)
- Characteristics of surface states and charge neutrality level in Ge (2009) (39)
- Low defect ultra-thin fully strained-Ge MOSFET on relaxed Si with high mobility and low band-to-band-tunneling (BTBT) (2005) (39)
- Metal/III-V effective barrier height tuning using atomic layer deposition of high-κ/high-κ bilayer interfaces (2011) (39)
- High-specific-power flexible transition metal dichalcogenide solar cells (2021) (38)
- Germanium In Situ Doped Epitaxial Growth on Si for High-Performance $\hbox{n}^{+}/\hbox{p}$-Junction Diode (2009) (38)
- Atomic Layer Deposition of Hafnium Oxide on Ge and GaAs Substrates: Precursors and Surface Preparation (2008) (37)
- Analytical modeling of silicon etch process in high density plasma (1999) (37)
- In situ thin film thickness measurement with acoustic Lamb waves (1995) (36)
- Schottky barrier height reduction for metal/n-GaSb contact by inserting TiO2 interfacial layer with low tunneling resistance (2011) (36)
- Formation of an interfacial Zr-silicate layer between ZrO2 and Si through in situ vacuum annealing (2005) (36)
- Towards high mobility GeSn channel nMOSFETs: Improved surface passivation using novel ozone oxidation method (2012) (35)
- Two-dimensional silicon oxidation experiments and theory (1985) (35)
- Optimization of the Al 2 O 3 / GaSb Interface and a High-Mobility GaSb pMOSFET (2011) (35)
- Zirconia-germanium interface photoemission spectroscopy using synchrotron radiation (2005) (34)
- Control of MMST RTP: repeatability, uniformity, and integration for flexible manufacturing [ICs] (1994) (34)
- Microstructural evolution of ZrO2?HfO2 nanolaminate structures grown by atomic layer deposition (2004) (34)
- SPEEDIE: a profile simulator for etching and deposition (1991) (34)
- Beyond interface: The impact of oxide border traps on InGaAs and Ge n-MOSFETs (2012) (34)
- Performance Comparisons Between Cu/Low-$\kappa$ , Carbon-Nanotube, and Optics for Future On-Chip Interconnects (2008) (33)
- Observation of improved minority carrier lifetimes in high-quality Ge-on-insulator using time-resolved photoluminescence. (2014) (33)
- Electrical Characteristics of Germanium $\hbox{n}^{+}/ \hbox{p}$ Junctions Obtained Using Rapid Thermal Annealing of Coimplanted P and Sb (2011) (33)
- Thermal Nitridation of Si and SiO/sub 2/ for VLSI (1985) (33)
- Impact of Joule heating on scaling of deep sub-micron Cu/low-k interconnects (2002) (32)
- High performance germanium N+∕P and P+∕N junction diodes formed at low Temperature (⩽380°C) using metal-induced dopant activation (2008) (32)
- Antimonide-Based Heterostructure p-Channel MOSFETs With Ni-Alloy Source/Drain (2013) (32)
- Statistical Modeling of Leakage Currents Through SiO2/High-κ Dielectrics Stacks for Non-Volatile Memory Applications (2008) (32)
- Interconnect performance modeling for 3D integrated circuits with multiple Si layers (1999) (32)
- Scaling trends for the on chip power dissipation (2002) (32)
- Thermionic Field Emission Explanation for Nonlinear Richardson Plots (2011) (31)
- Cavity-enhanced direct band electroluminescence near 1550 nm from germanium microdisk resonator diode on silicon (2011) (31)
- Hot-carrier-degradation characteristics for fluorine-incorporated nMOSFET's (1990) (30)
- Contact resistance of LPCVD W/Al and PtSi/W/Al metallization (1984) (30)
- Novel 3-D structures [ICs] (1999) (30)
- A high-performance polysilicon thin-film transistor using XeCl excimer laser crystallization of pre-patterned amorphous Si films (1996) (29)
- Specific Contact Resistivity Reduction Through Ar Plasma-Treated TiO2−x Interfacial Layer to Metal/Ge Contact (2014) (29)
- Metal/insulator/semiconductor carrier selective contacts for photovoltaic cells (2014) (29)
- Optical interconnects for future high performance integrated circuits (2003) (29)
- Uniaxial Stress Engineering for High-Performance Ge NMOSFETs (2010) (29)
- 50GHz Ge waveguide electro-absorption modulator integrated in a 220nm SOI photonics platform (2015) (29)
- SiGe optoelectronic metal-oxide semiconductor field-effect transistor. (2007) (29)
- High temperature phase transformation of tantalum nitride films deposited by plasma enhanced atomic layer deposition for gate electrode applications (2007) (29)
- Nitridation and post-nitridation anneals of SiO/sub 2/ for ultrathin dielectrics (1990) (28)
- Transient and Steady‐State Response of the Dopant System of a Silicon Epitaxial Reactor: Transfer‐Function Approach (1978) (28)
- The Effects of Chemical Oxide on the Deposition of Tungsten by the Silicon Reduction of Tungsten Hexafluoride (1987) (28)
- Technology limitations for N/sup +//P/sup +/ polycide gate CMOS due to lateral dopant diffusion in silicide/polysilicon layers (1991) (28)
- GeSn channel nMOSFETs: Material potential and technological outlook (2012) (28)
- Time‐dependent compositional variation in SiO2 films nitrided in ammonia (1985) (28)
- Effect of isochronal hydrogen annealing on surface roughness and threading dislocation density of epitaxial Ge films grown on Si (2010) (28)
- Accurate delay models for digital BiCMOS (1992) (27)
- Self-nucleation free and dimension dependent metal-induced lateral crystallization of amorphous germanium for single crystalline germanium growth on insulating substrate (2008) (27)
- Si Heterojunction Solar Cells: A Simulation Study of the Design Issues (2016) (27)
- Low pressure chemical vapor deposition of Si{sub 1{minus}x}Ge{sub x} films on SiO{sub 2}: Characterization and modeling (1995) (26)
- Low-Temperature, Low-Pressure Chemical Vapor Deposition and Solid Phase Crystallization of Silicon–Germanium Films (2009) (26)
- Bandgap-customizable germanium using lithographically determined biaxial tensile strain for silicon-compatible optoelectronics. (2015) (26)
- Modeling the performance of cluster-based fabs (1991) (26)
- Comparative Study on Electrical and Microstructural Characteristics of ZrO2 and HfO2 Grown by Atomic Layer Deposition (2005) (26)
- Complex Band Structures: From Parabolic to Elliptic Approximation (2011) (26)
- High quality single-crystal germanium-on-insulator on bulk Si substrates based on multistep lateral over-growth with hydrogen annealing (2010) (26)
- Interface studies of ALD-grown metal oxide insulators on Ge and III-V semiconductors (Invited Paper) (2009) (25)
- Thermal oxidation of tantalum silicide in O2 and H2O (1982) (25)
- High performance, uniaxially-strained, silicon and germanium, double-gate p-MOSFETs (2007) (25)
- Free-standing 2.7 μm thick ultrathin crystalline silicon solar cell with efficiency above 12.0% (2020) (25)
- Atomic layer deposition of Al2O3 on germanium-tin (GeSn) and impact of wet chemical surface pre-treatment (2013) (25)
- Monolithic three-dimensional integration of carbon nanotube FETs with silicon CMOS (2014) (25)
- Investigation of ballistic current in scaled Floating-gate NAND FLASH and a solution (2009) (25)
- Thermal Oxidation of Heavily Phosphorus‐Doped Thin Films of Polycrystalline Silicon (1982) (24)
- Band to Band Tunneling Study in High Mobility Materials : III-V, Si, Ge and strained SiGe (2007) (24)
- Determination of the densities of gap states in hydrogenated polycrystalline Si and Si0.8Ge0.2 films (1992) (24)
- Two precursor model for low‐pressure chemical vapor deposition of silicon dioxide from tetraethylorthosilicate (1993) (24)
- Temperature measurement in rapid thermal processing using acoustic techniques (1994) (24)
- Measurement of lateral dopant diffusion in thin silicide layers (1992) (23)
- A model for crystal growth during metal induced lateral crystallization of amorphous silicon (2003) (23)
- Arsenic segregation in polycrystalline silicon (1980) (23)
- 3-D ICs: Motivation, performance analysis, technology and applications (2010) (23)
- Physical vs. Virtual Express Topologies with Low-Swing Links for Future Many-Core NoCs (2010) (23)
- Modeling and characterization of dopant redistributions in metal and silicide contacts (1985) (22)
- A Highly Scalable Capacitorless Double Gate Quantum Well Single Transistor DRAM: 1T-QW DRAM (2008) (22)
- Temperature measurement in rapid thermal processing using the acoustic temperature sensor (1996) (22)
- Interfacial and breakdown characteristics of MOS devices with rapidly grown ultrathin SiO2gate insulators (1987) (22)
- A Model for Dopant Incorporation into Growing Silicon Epitaxial Films I . Theory (1979) (22)
- LPCVD profile simulation using a re-emission model (1990) (22)
- Low-Contact-Resistivity Nickel Germanide Contacts on n+Ge with Phosphorus/Antimony Co-Doping and Schottky Barrier Height Lowering (2012) (21)
- The Delay, Energy, and Bandwidth Comparisons between Copper, Carbon Nanotube, and Optical Interconnects for Local and Global Wiring Application (2007) (21)
- Rapid thermal multiprocessing for a programmable factory for adaptable manufacturing of ICs (1994) (21)
- Leakage suppression by asymmetric area electrodes in metal-semiconductor-metal photodetectors (2006) (21)
- Engineering of strained III–V heterostructures for high hole mobility (2009) (21)
- Analytical Study of Interfacial Layer Doping Effect on Contact Resistivity in Metal-Interfacial Layer-Ge Structure (2014) (20)
- Operational Voltage Reduction of Flash Memory Using High-$\kappa$ Composite Tunnel Barriers (2008) (20)
- Germanium In Situ Doped Epitaxial Growth on Si for High-Performance n + / p-Junction Diode (2009) (20)
- Charge trap generation in LPCVD oxides under high field stressing (1996) (20)
- Abatement of perfluorocarbons with an inductively coupled plasma reactor (1999) (19)
- Performance analysis and technology of 3-D ICs (2000) (19)
- Effects of wafer temperature on plasma charging induced damage to MOS gate oxide (1995) (19)
- Contact Selectivity Engineering in a 2 μm Thick Ultrathin c-Si Solar Cell Using Transition-Metal Oxides Achieving an Efficiency of 10.8. (2017) (19)
- Performance-driven scaling of BiCMOS technology (1992) (19)
- Carrier-selective interlayer materials for silicon solar cell contacts (2018) (19)
- 3-D ICs: Motivation, performance analysis, and technology (2000) (19)
- Performance comparison between copper, carbon nanotube, and optical interconnects (2008) (19)
- Metal-induced dopant (boron and phosphorus) activation process in amorphous germanium for monolithic three-dimensional integration (2009) (19)
- Low Temperature Germanium Growth on Silicon Oxide Using Boron Seed Layer and In Situ Dopant Activation (2010) (19)
- Conductivity mismatch and voltage dependence of magnetoresistance in a semiconductor spin injection device (2010) (19)
- Impact of minority carrier lifetime on the performance of strained germanium light sources (2015) (19)
- Invited) GeSn Channel n and p MOSFETs (2013) (18)
- Surface Passivation of Germanium Using SF6 Plasma to Reduce Source/Drain Contact Resistance in Germanium n-FET (2015) (18)
- InGaSb: Single channel solution for realizing III–V CMOS (2012) (18)
- Modeling ultrathin dielectric breakdown on correlation of charge trap-generation to charge-to-breakdown (1994) (18)
- Breakdown walkout in planar p-n junctions (1978) (18)
- Germanium Surface Cleaning with Hydrochloric Acid (2006) (18)
- Modeling and control of rapid thermal processing (1992) (18)
- Passivation of multiple-quantum-well Ge0.97Sn0.03/Ge p-i-n photodetectors (2017) (18)
- The Efficacy of Metal-Interfacial Layer-Semiconductor Source/Drain Structure on Sub-10-nm n-Type Ge FinFET Performances (2014) (18)
- N-Channel Germanium MOSFET Fabricated Below 360 $^{ \circ}\hbox{C}$ by Cobalt-Induced Dopant Activation for Monolithic Three-Dimensional-ICs (2011) (18)
- The influence of oxidation-induced stress on the generation current and its impact on scaled device performance (1996) (18)
- Optimization of intermetal dielectric deposition module using simulation (1995) (18)
- Improvement in high-k (HfO/sub 2//SiO/sub 2/) reliability by incorporation of fluorine (2005) (17)
- Hydrogenation by ion implantation for scaled SOI/PMOS transistors (1985) (17)
- Bias temperature instability in hydrogenated thin-film transistors (1997) (17)
- Investigation of the performance limits of III-V double-gate n-MOSFETs (2005) (17)
- Current crowding effects and determination of specific contact resistivity from contact end resistance (CER) measurements (1985) (17)
- Cubic-phase zirconia nano-island growth using atomic layer deposition and application in low-power charge-trapping nonvolatile-memory devices (2017) (17)
- Monolithic integration of germanium-on-insulator p-i-n photodetector on silicon. (2015) (17)
- Single Wafer Rapid Thermal Multiprocessing (1989) (17)
- Merged BiCMOS logic to extend the CMOS/BiCMOS performance crossover below 2.5-V supply (1991) (17)
- Power comparison between high-speed electrical and optical interconnects for inter-chip communication (2004) (17)
- Study of piezoresistance under unixial stress for technologically relevant III-V semiconductors using wafer bending experiments (2010) (17)
- Invited: Circuit scaling limits for ultra-large-scale integration (1981) (17)
- Passivation Studies of Germanium Surfaces (2007) (16)
- Air gaps lower k of interconnect dielectrics (1999) (16)
- Interface Layers for High-k/Ge Gate Stacks: Are They Necessary? (2006) (16)
- Quantitative projections of reliability and performance for low-k/Cu interconnect systems (2000) (16)
- A vertical submicron polysilicon thin-film transistor using a low temperature process (1994) (16)
- Selective-Area High-Quality Germanium Growth for Monolithic Integrated Optoelectronics (2012) (16)
- A new BiCMOS/CMOS gate comparison/design methodology and supply voltage scaling model (1989) (16)
- Study on hydrogenation of polysilicon thin film transistors by ion implantation (1995) (16)
- Nickel induced crystallization of a-Si gate electrode at 500C and MOS capacitor reliability (2003) (16)
- High quality GeO2/Ge interface formed by SPA radical oxidation and uniaxial stress engineering for high performance Ge NMOSFETs (2006) (16)
- Formation of 0.1 &#181;m N+/P and P+/N junctions by doped silicide technology (1985) (16)
- 2-D Simulations for accurate extraction of the specific contact resistivity from contact resistance data (1985) (15)
- Novel Germanium n-MOSFETs With Raised Source/Drain on Selectively Grown Ge on Si for Monolithic Integration (2011) (15)
- High-Performance p-n Junction Transition Metal Dichalcogenide Photovoltaic Cells Enabled by MoOx Doping and Passivation. (2021) (15)
- High Performance Submicrometer CMOS with Metal Induced Lateral Crystallization of Amorphous Silicon (2003) (15)
- Temperature Uniformity Optimization Using Three-Zone Lamp and Dynamic Control in Rapid Thermal Multiprocessor (1991) (15)
- Integration of optical polymer pillars chip I/O interconnections with Si MSM photodetectors (2004) (15)
- Investigation of Capacitorless Double-Gate Single-Transistor DRAM: With and Without Quantum Well (2010) (15)
- Performance Improvement of One-Transistor DRAM by Band Engineering (2012) (15)
- On the High-Field Transport and Uniaxial Stress Effect in Ge PFETs (2011) (15)
- A VLSI-Suitable Schottky-Barrier CMOS Process (1985) (14)
- Optimization of silicon-germanium TFT's through the control of amorphous precursor characteristics (1998) (14)
- A Model for Dopant Incorporation into Growing Silicon Epitaxial Films II . Comparison of Theory and Experiment (1979) (14)
- A new methodology for design of BiCMOS gates and comparison with CMOS (1992) (14)
- Experimental demonstration of In0.53Ga0.47As field effect transistors with scalable nonalloyed source/drain contacts (2011) (14)
- Nickel oxide carrier selective contacts for silicon solar cells (2015) (14)
- Theoretical Investigation Of Performance In Uniaxially- and Biaxially-Strained Si, SiGe and Ge Double-Gate p-MOSFETs (2006) (14)
- Improving contact resistance in MoS2 field effect transistors (2014) (14)
- Integration and reliability issues for low capacitance air-gap interconnect structures (1998) (14)
- Ultrathin high-/spl kappa/ gate dielectric technology for germanium MOS applications (2002) (13)
- Theoretical Modeling for the Interaction of Tin Alloying With N-Type Doping and Tensile Strain for GeSn Lasers (2015) (13)
- Effect of uniaxial-strain on Ge p-i-n photodiodes integrated on Si (2009) (13)
- Modeling of the performance of carbon nanotube bundle, cu/low-k and optical on-chip global interconnects (2007) (13)
- Studies of steam‐oxidized WSi2 by Auger sputter profiling (1980) (13)
- The effect of fluorine on gate dielectric properties (1987) (13)
- Experimental and theoretical investigation of phosphorus in-situ doping of germanium epitaxial layers (2013) (13)
- A Low-Power, Highly Scalable, Vertical Double-Gate MOSFET Using Novel Processes (2007) (13)
- Accurate barrier modeling of metal and silicide contacts (1984) (13)
- Realistic copper interconnect performance with technological constraints (2001) (13)
- Room temperature lasing unraveled by a strong resonance between gain and parasitic absorption in uniaxially strained germanium (2018) (13)
- A low-temperature (<or= 500 degrees C) silicon-germanium MOS thin-film transistor technology for large-area electronics (1991) (12)
- Band to Band Tunneling limited Off state Current in Ultra-thin Body Double Gate FETs with High Mobility Materials : III-V, Ge and strained Si/Ge (2006) (12)
- Lateral overgrowth of germanium for monolithic integration of germanium-on-insulator on silicon (2015) (12)
- Ge on Si by novel heteroepitaxy for high efficiency near infrared photodetection (2006) (12)
- A Variable-Work-Function Polycrystalline- Si -xGex Gate Material for Submicrometer CMOS Technologies (1991) (12)
- A group IV solution for 7 nm FinFET CMOS: Stress engineering using Si, Ge and Sn (2013) (12)
- Limits of specific contact resistivity to Si, Ge and III-V semiconductors using interfacial layers (2013) (12)
- Engineering the complete MANOS-type NVM stack for best in class retention performance (2009) (12)
- Closed-form analytical thermal model for accurate temperature estimation of multilevel ULSI interconnects (2003) (12)
- Analysis of Atomistic Dopant Variation and Fermi Level Depinning in Nanoscale Contacts (2017) (12)
- Hole Mobility and Its Enhancement with Strain for Technologically Relevant III-V Semiconductors (2009) (12)
- The Effect of Fixed Charge in Tunnel-Barrier Contacts for Fermi-Level Depinning in Germanium (2012) (11)
- Economic impact of single-wafer multiprocessors (1991) (11)
- GeSn Channel n and p MOSFETs (2012) (11)
- A high voltage MOS switch (1975) (11)
- Sub-200 Ω·µm Alloyed Contacts to Synthetic Monolayer MoS2 (2021) (11)
- Formation of MOS Gates by rapid thermal/microwave remote-plasma multiprocessing (1987) (11)
- Work function of WSi2 (1980) (11)
- Rapid Thermal Oxidation and Nitridation of Silicon (1986) (11)
- TPM 9.2: MBiCMOS: A Device and Circuit Technique Scalable to the Sub-micron, Sub-2V Regime (1991) (11)
- Impact of vias on the thermal effect of deep sub-micron Cu/low-k interconnects (2001) (10)
- Profile simulation of plasma enhanced and ECR oxide deposition with sputtering (1993) (10)
- Band engineered tunnel oxides for improved TANOS-type flash program/erase with good retention and 100K cycle endurance (2009) (10)
- Physical and Electrical Properties of Polycrystalline Silicon Thin Films (1981) (10)
- Ge microdisk with lithographically-tunable strain using CMOS-compatible process. (2015) (10)
- A Novel Fluorine Incorporated Band Engineered (BE) Tunnel (SiO2/ HfSiO/ SiO2) TANOS with Excellent Program/Erase & Endurance to 10^5 Cycles (2009) (10)
- High mobility Ge and III–V materials and novel device structures for high performance nanoscale MOSFETS (2008) (10)
- Rapid thermal anneal of gate oxides for low thermal budget TFT's (1999) (10)
- Pyrometer modeling for rapid thermal processing (1991) (10)
- Modeling and simulation of feature-size-dependent etching of metal stacks (2001) (10)
- Inelastic electron tunneling study of crystallization effects and defect energies in hafnium oxide gate dielectrics (2011) (10)
- Prediction of plasma charging induced gate oxide damage by plasma charging probe (1997) (10)
- Studies of trapping and conduction in ultrathin SiO 2 gate insulators (1984) (10)
- Strain Enhanced High Efficiency Germanium Photodetectors in the Near Infrared for Integration with Si (2006) (9)
- BiCMOS gate performance optimization using a unified delay model (1990) (9)
- Dependence of Fermi level positions at gate and substrate on the reliability of ultrathin MOS gate oxides (1999) (9)
- Study of Germanium Surface in Wet Chemical Solutions for Surface Cleaning Applications (2006) (9)
- Influence of post-oxidation cooling rate on residual stress and pn-junction leakage current in LOCOS isolated structures (1996) (9)
- A Modulator Design Methodology Minimizing Power Dissipation in a Quantum Well Modulator-Based Optical Interconnect (2007) (9)
- Amelioration of interface state response using band engineering in III-V quantum well metal-oxide-semiconductor field-effect transistors (2012) (9)
- Characterization of lateral dopant diffusion in silicides (1990) (9)
- Direct experimental determination and modeling of VUV induced bulk conduction in dielectrics during plasma processing (2000) (9)
- Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge (1985) (9)
- In-situ ultrasonic thermometry of semiconductor wafers (1993) (9)
- Fabrication of GeSn-On-Insulator (GSOI) to enable monolithic 3D co-integration of logic and photonics (2013) (9)
- Germanium for High Performance MOSFETs and Optical Interconnects (2008) (9)
- Rapid Thermal Multiprocessing For Micro Factories (1990) (9)
- Very high performance 40 nm CMOS with ultra-thin nitride/oxynitride stack gate dielectric and pre-doped dual poly-Si gate electrodes (2000) (9)
- Nanoislands-Based Charge Trapping Memory: A Scalability Study (2017) (9)
- Direct tungsten on silicon dioxide formed by RF plasma-enhanced chemical vapor deposition (1988) (8)
- A Low Power, Highly Scalable, Vertical Double Gate MOSFET Using Novel Processes (2007) (8)
- Modeling dopant redistribution in SiO2/WSi2/Si structure (1986) (8)
- Direct measurement of nanoscale filamentary hot spots in resistive memory devices (2022) (8)
- Novel contact structures for high mobility channel materials (2011) (8)
- Low temperature boron and phosphorus activation in amorphous germanium using Ni- and Co-induced crystallization and its application for three-dimensional integrated circuits (2008) (8)
- In situ thin film thickness measurement using ultrasonics waves (1994) (8)
- A novel floating gate spacer polysilicon TFT (1993) (8)
- High performance n-MOSFETs with novel source/drain on selectively grown Ge on Si for monolithic integration (2009) (8)
- Latchup free CMOS using guarded Schottky barrier PMOS (1984) (8)
- In-Situ Temperature Monitoring in Rtp by Acoustical Techniques (1993) (8)
- A new analytical thermal model for multilevel ULSI interconnects incorporating via effect (2001) (8)
- Novel process for fully self-aligned planar ultrathin body Double-Gate FET (2004) (8)
- Material properties of low pressure chemical vapor deposited silicon nitride for modeling and calibrating the simulation of advanced isolation structures (1995) (8)
- A novel, very high performance, sub-20nm depletion-mode double-gate (DMDG) Si/Si/sub x/Ge/sub (1-x)//Si channel PMOSFET (2003) (8)
- Fundamental factors governing improved performance of Al–Si/Ti multilayer metallization for very large scale integration (1989) (7)
- Performance Comparison between capacitively driven low swing and conventional interconnects for CU and carbon nanotube wire technologies (2009) (7)
- Thin film effects in ultrasonic wafer thermometry (1994) (7)
- Electromigration reliability of low capacitance air-gap interconnect structures (2002) (7)
- In-situ acoustic thermometry and tomography for rapid thermal processing (1993) (7)
- Defect Reduction of Ge on Si by Selective Epitaxy and Hydrogen Annealing (2008) (7)
- Operational Voltage Reduction of Flash Memory Using High-κ Composite Tunnel Barriers (2008) (7)
- A Novel Spacer Process for Sub-10-nm-Thick Vertical MOS and Its Integration With Planar MOS Device (2006) (7)
- Development of High-k Dielectric for Antimonides and a sub 350 degree Celsius III-V pMOSFET Outperforming Germanium (2010) (7)
- Correction to Improved Contacts to MoS2 Transistors by Ultra-High Vacuum Metal Deposition. (2017) (7)
- Invited) Low Resistance Contacts to Nanoscale Semiconductor Devices (2016) (7)
- Reduction of Surface Roughness in Epitaxially Grown Germanium by Controlled Thermal Oxidation (2015) (7)
- Modeling of grain size variation effects in polycrystalline thin film transistors (1998) (7)
- C-shaped Nano-Aperture-Enhanced Germanium Photodetector (2006) (7)
- Method for angular sputter yield extraction for high-density plasma chemical vapor deposition simulators (1998) (7)
- Effect of interfacial oxide on Ge MOSCAP and N-MOSFET characteristics (2011) (7)
- A polycrystalline-Si1-xGex-gate CMOS technology (1990) (7)
- Optimal device architecture and hetero-integration scheme for III–V CMOS (2013) (7)
- Highly Scalable Vertical Double Gate NOR Flash Memory (2007) (7)
- Study of Performances of Low-k Cu, CNTs, and Optical Interconnects (2011) (7)
- Limitation of Optical Enhancement in Ultra-thin Solar Cells Imposed by Contact Selectivity (2018) (7)
- Reliability Studies on Multilevel Interconnection with Intermetal Dielectric Air Gaps (2001) (7)
- Effects of Thermal Annealing on In Situ Phosphorus-Doped Germanium $\hbox{n}^{+}/\hbox{p}$ Junction (2013) (7)
- Silicon Epitaxy and Oxidation (1977) (7)
- Optimal design of III–V heterostructure MOSFETs (2010) (6)
- Power dissipation in optical clock distribution network for high performance ICs (2002) (6)
- Backscattered deposition in Ar sputter etch of silicon dioxide (1993) (6)
- Mesoscale modeling of diffusion in polycrystalline structures (1997) (6)
- Minimizing power dissipation in optical interconnects at low voltage using optimal modulator design (2005) (6)
- Non-invasive process temperature monitoring using laser-acoustic techniques (1990) (6)
- High Mobility Channel Materials for Future CMOS (2007) (6)
- High-Efficiency WSe2 Photovoltaic Devices with Electron-Selective Contacts. (2022) (6)
- Novel SiGe Source/Drain for Reduced Parasitic Resistance in Ge NMOS (2010) (6)
- Simulation of the Effect of Dielectric Air Gaps on Interconnect Reliability (1997) (6)
- WSi2gate MOS devices (1979) (6)
- A methodology for the interconnect performance evaluation of 2D and 3D processors with memory (2002) (6)
- Deposition and Properties of Low-Pressure Chemical-Vapor Deposited Polycrystalline Silicon-Germanium Films. (1994) (6)
- Performance Evaluation of III-V Double-Gate n-MOSFETs (2008) (6)
- Strained-Si, Relaxed-Ge or Strained-(Si)Ge for Future Nanoscale p-MOSFETs? (2006) (6)
- Performance Limitations of Si Bulk CMOS and Alternatives for future ULSI (2007) (6)
- Specific contact resistivity measurements of reactive ion etched contacts (1988) (6)
- Toward Low-Temperature Solid-Source Synthesis of Monolayer MoS2. (2021) (6)
- Computer-Aided Engineering of Semiconductor Integrated Circuits. (1978) (6)
- Germanium on Insulator (GOI) Structure Using Hetero-Epitaxial Lateral Overgrowth on Silicon (2012) (6)
- Optimization of Germanium (Ge) $\hbox{n}^{+}/\hbox{p}$ and $\hbox{p}^{+}/\hbox{n}$ Junction Diodes and Sub 380 $^{\circ}\hbox{C}$ Ge CMOS Technology for Monolithic Three-Dimensional Integration (2011) (6)
- Advanced germanium MOSFET technologies with high-/spl kappa/ gate dielectrics and shallow junctions (2004) (6)
- Adaptable Manufacturing Systems (1993) (6)
- Atomically abrupt and unpinned Al 2 O 3 / In 0 . 53 Ga 0 . 47 As interfaces : Experiment and simulation (2009) (6)
- Ultimate limits of biaxial tensile strain and n-type doping for realizing an efficient low-threshold Ge laser (2015) (6)
- An alternative gate electrode material of fully depleted SOI CMOS for low power applications (1997) (6)
- Programmable Factory For Adaptable IC Manufacturing (1993) (6)
- Very High Performance, Sub-20nm, Strained Si and Six Ge1-x, Hetero-structure, Center Channel (CC) NMOS and PMOS DGFETs (2004) (5)
- IIB-1 the role of stress in two-dimensional silicon oxidation (1985) (5)
- Thickness Limitations of Si02 Gate Dielectrics for (1990) (5)
- Heterostructure design and demonstration of InGaSb channel III-V CMOS transistors (2011) (5)
- Rapid Thermal Growth Of Thin Insulators On Si (Invited) (1986) (5)
- Novel Capacitorless Single-Transistor Charge-Trap DRAM (1T CT DRAM) Utilizing Electrons (2010) (5)
- Configuration and management strategies for cluster-based fabs (1993) (5)
- Analytical approximation of complex band structures for band-to-band tunneling models (2011) (5)
- Characterization of Hot-Electron-Stressed MOSFET's by Low-Temperature Measurements of the Drain Tunnel Current (1990) (5)
- Layered and homogeneous films of aluminum and aluminum/silicon with titanium, zirconium, and tungsten for multilevel interconnects (1984) (5)
- Properties and Trade-Offs of Compound Semiconductor MOSFETs (2010) (5)
- Electric Field Effects in Semiconductor Spin Transport—A Transfer Matrix Formalism (2011) (5)
- SATPOLY: a self-aligned tungsten on polysilicon process for CMOS VLSI applications (1989) (5)
- Low-resistance submicrometer contacts to silicon (1988) (5)
- On the limit of defect doping in transition metal oxides (2019) (5)
- Lateral gate-all-around (GAA) poly-Si transistors (2001) (5)
- N-Channel MOSFETs with WSi2gate (1981) (5)
- Advanced Germanium MOS Devices (2007) (5)
- Optimization of selective contacts in si heterojunction photovoltaic cells considering fermi level pinning and interface passivation (2016) (5)
- Approaches for a viable Germanium laser: Tensile strain, GeSn alloys, and n-type doping (2013) (5)
- Laterally crystallized polysilicon TFTs using patterned light absorption masks (1997) (5)
- Programmable factory IC manufacturing for the 21st century (1993) (4)
- Germanium Nanodevices and Technology (2007) (4)
- STRUCTURE AND STABILITY OF LOW PRESSURE CHEMICALLY VAPOR-DEPOSITED SILICON FILMS (1978) (4)
- Simulation Studies of TiN PVD and CVD Thin Films for Contact/Via Liners (1995) (4)
- Development of a physical model of UV induced bulk photoconduction in silicon dioxide and application to charging damage (2002) (4)
- Numerical simulation of CVD trench filling using a surface reaction coefficient model (1990) (4)
- Electrical Characterization of GaP-Silicon Interface for Memory and Transistor Applications (2013) (4)
- High frequency C-V investigation of metal-oxide-semiconductor capacitors prepared by low temperature subatmospheric pressure chemical vapour deposition of SiO2 films on silicon substrates (1992) (4)
- Manufacturing cost of active-matrix liquid-crystal displays as a function of plant capacity (1996) (4)
- Statistical limits of contact resistivity due to atomistic variation in nanoscale contacts (2016) (4)
- Minimizing power dissipation in chip to chip optical interconnects using optimal modulators and laser power (2003) (4)
- Ge Interface Passivation Techniques and Their Thermal Stability (2008) (4)
- Heterogeneous ICs : A Technology for the Next Decade and Beyond (2001) (4)
- A novel sub-20 nm depletion-mode double-gate (DMDG) FET (2003) (4)
- In Situ Removal of Native Oxides from Silicon Surfaces Using Anhydrous Hydrogen Fluoride Gas (1999) (4)
- (Invited) Characterizations of Direct Band Gap Photoluminescence and Electroluminescence from epi-Ge on Si (2010) (4)
- MBiCMOS: A Device And Circuit Technique Scalable To The Sub-micron, Sub-211 Regime (1991) (4)
- Scaling of SOI/PMOS transistors (1983) (4)
- Effects of point defect healing on phosphorus implanted germanium n+/p junction and its thermal stability (2013) (4)
- The impact of technology on power for high-speed electrical and optical interconnects (2005) (4)
- Power optimization of future transistors and a resulting global comparison standard (2004) (4)
- Fermi-Level Depinning of GaAs for Ohmic Contacts (2008) (4)
- Photoacoustic measurements of silicon wafer processing temperatures (1989) (4)
- Effect of a silicon interlayer in low-temperature poly-SiGe thin film transistors (1997) (4)
- Unveiling the Effect of Superlattice Interfaces and Intermixing on Phase Change Memory Performance. (2022) (4)
- Adaptable IC manufacturing systems for the 21st century (1994) (4)
- Comment, with reply, on 'Hot-electron hardened Si-gate MOSFET utilizing F implantation' by Y. Nishioka, et al (1989) (4)
- In-Situ MOS Gate Engineering in a Novel Rapid Thermal/Plasma Multiprocessing Reactor (1987) (4)
- Experimental demonstration of high source velocity and its enhancement by uniaxial stress in Ge PFETs (2010) (4)
- A novel technique for in-situ monitoring of crystallinity and temperature during rapid thermal annealing of thin Si/Si-Ge films on quartz/glass (1996) (4)
- Doped WS2 transistors with large on-off ratio and high on-current (2020) (4)
- Fermi level depinning for the design of III–V FET source/drain contacts (2009) (4)
- Tight-binding study of Γ-L bandstructure engineering for ballistic III–V nMOSFETs (2011) (4)
- Analytical Modeling of Ge and Si Double-Gate(DG) NFETs and the Effect of Process Induced Variations (PIV) on Device Performance (2004) (4)
- Compositional Studies of Thermally Nitrided Silicon Dioxide (Nitroxide). (1986) (4)
- Performance Evaluation of 15nm Gate Length Double-Gate n-MOSFETs with High Mobility Channels: III-V, Ge and Si (2008) (3)
- A novel, highly-strained structure with an integrated optical cavity for a low threshold germanium laser (2015) (3)
- Feasibility Study of Composite Dielectric Tunnel Barriers for Flash Memory (2007) (3)
- Performance Evaluation Of Adaptable Manufacturing Systems For Semiconductor IC Production (1993) (3)
- Strong Reduction in Ge Film Reflectivity by an Overlayer of 3 nm Si Nanoparticles: Implications for Photovoltaics (2021) (3)
- Geometry Dependence of Poly-Si Oxidation and its Application to Self-Align, Maskless Process for Nano-scale Vertical CMOS Structures (2006) (3)
- GaP source-drain vertical transistor on bulk silicon for 1-transistor DRAM application (2013) (3)
- Linearly ramped temperature transient rapid thermal oxidation of silicon (1988) (3)
- Comparison of Physical and Virtual Express Topologies for Future Many-core On-Chip Networks (2009) (3)
- Comparison of Test Structures Used for the Measurement of Low Resistive Metal-Semiconductor Contacts, (1985) (3)
- High - Mobility, Low Parasitic Resistance Si/Ge/Si Heterostructure Channel Schottky Source/Drain PMOSFETs (2007) (3)
- Annealing of oxide fixed charges in scaled polysilicon gate MOS structures (1985) (3)
- Microwave Plasma LPCVD of Tungsten in a Cold-Wall Lamp-Heated Rapid Thermal Processor (1987) (3)
- IIB-3 aluminum alloys with titanium, tungsten, and copper for multilayer interconnections (1984) (3)
- (Invited) Light Emission from Highly-Strained Germanium for On-Chip Optical Interconnects (2014) (3)
- Strained germanium-tin multiple quantum well microdisk resonators towards a light source on silicon (2015) (3)
- A new bipolar process-borsenic (1976) (3)
- Towards high Voc, thin film, homojunction WS2 solar cells for energy harvesting applications (2019) (3)
- A New Flexible Rapid Thermal Processing System (1995) (3)
- High efficiency monolithic photodetectors for integrated optoelectronics in the near infrared (2009) (3)
- Single-crystalline Si on insulator in confined structures fabricated by two-step metal-induced crystallization of amorphous Si (2002) (3)
- Feasibility of SIO2/Al2O3 tunnel dielectric for future Flash memories generations (2008) (3)
- Silicon-Compatible Fabrication of Inverse Woodpile Photonic Crystals with a Complete Band Gap (2019) (3)
- Optical Link on Silicon Employing Ge/SiGe Quantum Well Structures (2007) (3)
- Noncontacting acoustics-based temperature measurement techniques in rapid thermal processing (1991) (3)
- Metal/III–V effective barrier height tuning using ALD high-κ dipoles (2011) (3)
- Quasi-three-dimensional modeling of sub-micron LOCOS structures (1995) (3)
- Effects of oxidant dosing on GaSb (100) prior to atomic layer deposition and high-performance antimonide-based P-channel MOSFETs with Ni-alloy S/D (2013) (3)
- Three dimensional PVD virtual reactor for VLSI metallization (1995) (3)
- Nanoscale Germanium MOS Dielectrics and Junctions (2007) (3)
- Nickel induced crystallization of /spl alpha/-Si gate electrode at 500/spl deg/C and gate oxide reliability (2002) (3)
- Germanium MOSFETs for Nanoelectronics (2006) (3)
- Metal-Insulator-Semiconductor Contacts on Ge: Physics and Applications (2012) (3)
- Electrical Characteristics of Devices Fabricated with Ultra-Thin Thermally Grown Silicon Nitride and Nitroxide Gate Insulators (1983) (3)
- Demonstration of Multiprocessing by Silicon Epitaxy Following In-Situ Cleaning (1991) (3)
- 3D modeling of rapid thermal processors for design optimization of a new flexible RTP system (1994) (3)
- Fermi-level pinning at metal/antimonides interface and demonstration of antimonides-based metal S/D Schottky pMOSFETs (2011) (3)
- High Performance, Ultra-thin, Strained-Ge, Heterostructure FETs With High Mobility And Low Leakage (2006) (3)
- A Nanomembrane-Based Bandgap-Tunable Germanium Microdisk Using Lithographically-Customizable Biaxial Strain for Silicon-Compatible Optoelectronics (2014) (3)
- Direct bandgap germanium nanowires inferred from 5.0% uniaxial tensile strain (2013) (3)
- Performance evaluation of uniaxial- and biaxial-strained In(x)Ga(1−x)As NMOS DGFETs (2008) (2)
- Ultra shallow junctions with high dopant activation and GeO2 interfacial layer for gate dielectric in germanium MOSFETs (2010) (2)
- Toward an efficient germanium-on-silicon laser: Ultimate limits of tensile strain and n-type doping (2013) (2)
- Thermal modeling of metal oxides for highly scaled nanoscale RRAM (2015) (2)
- Soft error evaluation for InGaAs and Ge complementary FinFETs (2017) (2)
- Direct Tungsten and Tungsten Shunted Polysilicon Gate Submicron CMOS Technology (1989) (2)
- Evaluating Strained/Relaxed-Ge, Strained-Si, Strained-SiGe For Future Nanoscale p-MOSFETs (2006) (2)
- Advanced Germanium MOS Devices and Technology (2005) (2)
- 3-D ICS DSM Interconnect Performance Modeling and Analysis (2003) (2)
- TMCTS for gate dielectric in thin film transistors (1996) (2)
- Cost modeling of low-temperature large-area polysilicon thin film transistor liquid crystal display manufacturing (1997) (2)
- III-Sb MOSFETS : Opportunities and Challenges (2012) (2)
- IIIB-1 submicrometer IGFET fabrication by rapid thermal processing (1987) (2)
- Addressing key challenges in 1T-DRAM: Retention time, scaling and variability — Using a novel design with GaP source-drain (2013) (2)
- Enhancement of Phosphorus Dopant Activation and Diffusion Suppression by Fluorine Co-Implant in Epitaxially Grown Germanium (2012) (2)
- Schottky Barrier Height Engineering for Low Resistance Contacts to Ge and III-V Devices (2012) (2)
- Performance comparison between vertical-cavity surface-emitting laser and quantum-well modulator for short-distance optical links (2006) (2)
- The Effect of Post-Growth Anneals on Nitroxide Films (1989) (2)
- Characteristics of the Capacitorless Double Gate Quantum Well Single Transistor DRAM (2009) (2)
- Fast-Response Flexible Temperature Sensors with Atomically Thin Molybdenum Disulfide. (2022) (2)
- An Improved Calibration Methodology for Modeling Advanced Isolation Technologies (1995) (2)
- A novel sub-10 nm transistor (2000) (2)
- How far can we push Si CMOS and what are the alternatives for future ULSI (2014) (2)
- 3D-stacked Strained SiGe/Ge Gate-All-Around (GAA) Structure Fabricated by 3D Ge Condensation (2019) (2)
- Effect of Growth Conditions on the Reliability of Ultrathin MOS Gate Oxides (1996) (2)
- Multilayered Interconnections for VLSI (1987) (2)
- Anomalous threshold reduction from uniaxial strain for a low-threshold Ge laser (2015) (2)
- PHOSPHORUS DOPING OF LOW PRESSURE CHEMICALLY VAPOR-DEPOSITED SILICON FILMS (1979) (2)
- WSi2 interconnections for very-large-scale integrated circuits☆ (1981) (2)
- Effect of annealing ambient on performance and reliability of low pressure chemical vapor deposited oxides for thin film transistors (1996) (2)
- In-situ film thickness and temperature monitoring using a 2 GHz acoustic phase measurement system (1991) (2)
- THERMAL OXIDATION OF HEAVILY PHOSPHORUS-DOPED THIN FILMS OF POLYCRYSTALLINE SILICON (1983) (2)
- Self-consistent power/performance/reliability analysis for copper interconnects (2004) (2)
- OPTIMIZATION AND MODELING OF SILICON-GERMANIUM THIN FILM TRANSISTORS FOR AMLCD APPLICATIONS USING A PLACKETT-BURMAN EXPERIMENTAL DESIGN (1996) (2)
- Ultrathin thermal silicon nitride and nitroxide gate insulators for VLSI (1984) (2)
- A novel self-aligned gate-lost MOSFET process comparing high-/spl kappa/ candidates (2003) (2)
- Silicon compatible optical interconnect and monolithic 3-D integration (2020) (2)
- The sidewall resistor&#8212;A novel test structure to reliably extract specific contact resistivity (1986) (2)
- Electro-Thermally Coupled Power Optimization for Future Transistors and Its Applications (2007) (2)
- Solid phase epitaxial re-growth of Sn ion implanted germanium thin films (2012) (2)
- Process monitoring techniques using acoustic waves (1990) (2)
- HV silicon-gate MOS integrated circuit for driving piezoelectric tactile displays (1974) (2)
- Rapid thermal multiprocessing using multivariable control of circularly symmetric 3 zone lamp (1992) (1)
- A high-voltage MOSFET in polycrystalline silicon (1980) (1)
- Single-Event Measurement and Analysis of Antimony-Based p-Channel Quantum-Well MOSFETs With High- $\kappa $ Dielectric (2017) (1)
- Oxidation and epitaxy (1977) (1)
- Increasing Wavelength ( a ) 50 GHz Ge Waveguide Electro-Absorption Modulator Integrated in a 220 nm SOI Photonics Platform (2015) (1)
- IIB-8 high-performance latchup-free CMOS (1984) (1)
- VB-2 hydrogenation by ion implantation for VLSI/SOI applications (1984) (1)
- Emerging CMOS Technology at 5 nm and Beyond: Emerging Interconnect Technologies (2015) (1)
- Single wafer in-situ multiprocessing (1989) (1)
- Keynote 1: The road to resilient computing in autonomous driving is paved with redundancy (2018) (1)
- In Situ Monitoring of Crystallinity and Temperature during Rapid Thermal Crystallization of Silicon on Glass (1997) (1)
- Transistor sizing for AMLCD integrated TFT drive circuits (1997) (1)
- Asymmetric group iv msm photodetectors with reduced dark currents (2003) (1)
- IVB-7 the sidewall resistor&#8212;A novel test structure to reliably extract specific contact resistivity (1986) (1)
- STRUCTURAL EVOLUTION AND POINT DEFECTS IN METAL OXIDE-BASED HIGH-κ GATE DIELECTRICS (2006) (1)
- IIB-4 properties and deposition of low-pressure CVD tungsten-silicon films (1984) (1)
- Dependence of Reliability of Ultrathin Mos Gate Oxides on the Fermi Level Positions at Gate and Substrate (1997) (1)
- Silicon interlayer heterojunction effects in polycrystalline Si/sub 1-x/Ge/sub x/ thin film transistors (1998) (1)
- High performance poly-si thin film transistors (TFTs) fabricated by xecl excimer laser annealing without post-hydrogenation (1994) (1)
- Mobilty Modeling in Ultra-Thin (UT) Strained Germanium (s-Ge) Quantum Well (QW) Heterostructure pMOSFETs (2008) (1)
- Three Dimensional Simulation for Sputter Deposition Equipment and Processes (1995) (1)
- Borsenic bipolar process (1975) (1)
- Near-Infrared Photodetector Enhanced by an Open- Sleeve Dipole Antenna (2007) (1)
- Simulation of spin MOSFETs (2011) (1)
- Control for Advanced Semiconductor Device Manufacturing: A Case History (2018) (1)
- Development of design rules for reliable tungsten plugs using simulations (1992) (1)
- In situ simultaneous measurement of temperature and thin film thickness with ultrasonic techniques (1996) (1)
- Extended Hückel theory for quantum transport in magnetic tunnel junctions (2014) (1)
- PERFORMANCE LIMITATIONS OF Si CMOS AND ALTERNATIVES FOR NANOELECTRONICS (2006) (1)
- Response surface optimization for high-performance solid-phase crystallized silicon-germanium thin film transistors (1997) (1)
- Sticking coefficient as a single parameter to characterize step coverage of SiO/sub 2/ processes (1990) (1)
- Thermally Driven In-Situ Removal of Native Oxide Using Anhydrous Hydrogen Fluoride (1993) (1)
- Low Temperature Diffusion of Boron from Diborane Using Carbon Dioxide as Oxidant (1977) (1)
- Response surface characterization of the deposition of LPCVD SiGe for solid-phase crystallized poly-TFTs (1997) (1)
- Optimization of selective contacts in Si heterojunction photovoltaic cells considering Fermi level pinning and interface passivation (2016) (1)
- Germanium on insulator (GOI) structure locally grown on silicon using hetero epitaxial lateral overgrowth (2013) (1)
- Total-Ionizing Dose Mechanisms in Antimony based CMOS Transistors with High-k Dielectric (2014) (1)
- Electrical characteristics and irradiation sensitivity of IGFETs with rapidly grown ultrathin gate dielectrics (1988) (1)
- Single event transient response of InGaSb p-MOSFETs using pulsed laser excitation: Comparison of buried-channel and surface-channel structures (2016) (1)
- Study of Shubnikov–de Haas oscillations and measurement of hole effective mass in compressively strained InXGa1−XSb quantum wells (2011) (1)
- Imaging the electron charge density in monolayer MoS2 at the {\AA}ngstrom scale (2022) (1)
- Characteristics of metal-induced crystallization/dopant activation and its application to junction diodes on single-crystalline silicon (2012) (1)
- In-situ simultaneous measurement of temperature and thin film thickness with ultrasonic techniques (1996) (1)
- PROPERTIES OF MOSFETS FABRICATED IN LASER-ANNEALED POLYSILICON FILMS (1980) (1)
- A LOW-TEMPERATURE (5 SSOOC) SILICON-GERMANIUM MOS THIN-FILM TRANSISTOR TECHNOLOGY FOR LARGE-AREA ELECTRONICS (1991) (1)
- Low-Threshold Lasing in Strained Germanium under Optical Pumping (2018) (1)
- Thermal and Microwave Nitrogen Plasma Nitridation Techniques for Ultrathin Gate Insulators of MOS VLSI (1985) (1)
- (Invited) Novel Electronic and Optoelectronic Devices in Germanium Integrated on Silicon (2010) (1)
- Corrigendum to “Free-standing 2.7 μm thick ultrathin crystalline silicon solar cell with efficiency above 12.0%” Nano Energy, volume 70, April 2020, 104466 (2020) (1)
- Strained germanium membrane using thin film stressor for high efficiency laser (2011) (1)
- Measurement of VUV induced surface conduction in dielectrics using synchrotron radiation (2000) (1)
- First Demonstration of Top-Gated ITO Transistors: Effect of Channel Passivation (2022) (1)
- Improved Contacts to Synthetic Monolayer MoS2 – A Statistical Study (2021) (1)
- FABLE: Knowledge for Semiconductor Manufacturing, (1986) (1)
- Novel germanium technology and devices for high performance mosfets and integrated on-chip optical clocking (2003) (1)
- A Novel Techni Integration: Ge-seeded Laterally Crysta~~~~e (1997) (1)
- Statistical Analysis of Contacts to Synthetic Monolayer MoS2 (2021) (1)
- Growth And Characterization of Thin Wet Oxides Grown by Rapid Thermal Processing (1998) (1)
- A Low Temperature Polycrystalline Si TFT Technology for Large area AMLCD Drivers (1997) (1)
- A sub 350°C GaSb pMOSFET with ALD high-k dielectric (2010) (1)
- Gate CMOS due to Lateral Dopant Diffusion in Silicide/Poly silicon Layers (1991) (1)
- Mobility Enhancement of Monolayer MoS2 Transistors using Tensile-Stressed Silicon Nitride Capping Layers (2022) (1)
- Rapid Thermal Multiprocessing for a Programmable Factory for Manufacturing of ICs (1996) (1)
- Charge Trap Generation in LPCVD (1996) (0)
- Performance comparison of cu/low-k, carbon nanotube, and optics for on-chip and off-chip interconnects (2009) (0)
- Microstructural Characterization of LPCVD (Low Pressure Chemical Vapor Deposition) Tungsten Interfaces (1985) (0)
- Conductivity Mismatch and Voltage Dependence of Magnetoresistance in a Semiconductor Spin Injection and Detection Structure (2009) (0)
- A novel technique to reduce leakage in metal-semiconductormetal photodetectors (2005) (0)
- Metal-Semiconductor-Metal (MSM) Photodetectors Based on Single-walled Carbon Nanotube Film-GaAs Schottky Contacts (2007) (0)
- Mobilty modeling of strained germanium (s-Ge) quantum well (QW) heterostructure pMOSFETs (2008) (0)
- In-situ MOS gate engineering in a novel rapid thermal/plasma multiprocessing reactor (1987) (0)
- Sensors for In-Situ Process Monitoring and Process Control (1996) (0)
- High voltage MOS integrated circuits : December 1974 (1975) (0)
- Design and characterization of SiGe TFT devices and process using Stanford's test chip design environment (1997) (0)
- Waveguide Electroabsorption Modulator on Si Employing Ge/SiGe Quantum Wells (2007) (0)
- A novel optoelectronic device complimentary to photodetector (2011) (0)
- Mimicking heterostructure behavior within a single material at room temperature using strain (2014) (0)
- Studies of trapping and conduction in ultrathin SiO<inf>2</inf>gate insulators (1984) (0)
- Improved gradual resistive switching range and 1000 3 on/off ratio in HfO x RRAM achieved with a Ge 2 Sb 2 Te 5 thermal barrier (2022) (0)
- G05 Panel Discussion: How Far Can We Push Si CMOS and What are the Alternatives for Future Nano Electronics? (2016) (0)
- Ships. High-Level Process Simulation for VLSI (Very Large Scale Integrated) Manufacturing, (1987) (0)
- Light Emission from Strained Germanium for CMOS-Compatible Optoelectronics (2015) (0)
- Gate Dielectrics for Ge MOS Technology (2006) (0)
- Leakage Current Analysis of Lateral p+/n Ge Based Diode Activated at Low Temperature for Three-Dimensional Integrated Circuit (3D-ICs) (2010) (0)
- Novel Si-based Optoelectronic Switching Device: Light to Latch (2007) (0)
- R-1 Low Cost Processes (a Vision For Gigabit Manufacturing Toward 2000) (1993) (0)
- Modeling of Ti physical vapor deposition systems (1994) (0)
- Characterization of Al/Ti and NiGe ohmic contacts to n-type GeSn CVD-grown layers (2012) (0)
- Improved gradual resistive switching range and 1000× on/off ratio in HfOx RRAM achieved with a Ge2Sb2Te5 thermal barrier (2022) (0)
- A multiple target sputter system with enhanced wafer uniformity, lifetime uniformity, and wafer scaleability (1994) (0)
- High-speed heat plasma multi-process reactor and its utilization (1988) (0)
- HighMobility Channel Materials forFuture CMOS (2007) (0)
- Metal-Semiconductor-Metal (MSM) Photodetectors with Single-walled Carbon Nanotube Film Schottky Electrodes on GaAs (2008) (0)
- Temperature Measurement of Silicon Wafers Using Photoacoustic Techniques (1990) (0)
- On the Description of the Collision Terms in Three-Valley Hydrodynamic Models for GaAs Device Modeling (1994) (0)
- SESSION XIV: New Applications of Integrated Electronics (1969) (0)
- Bias Stress Stability of ITO Transistors and its Dependence on Dielectric Properties (2022) (0)
- High-k dielectric processing for germanium channel MOSFETs (2003) (0)
- Fabrication of Ultra-Small Zirconia Nano-Islands Using Thermal Atomic Layer Deposition (2017) (0)
- A nanomembrane-based bandgap-tunable Ge microdisk for Si-compatible optoelectronics (2015) (0)
- Investigation of Strained-Sb Hetrostructures with High Hole Mobility (2009) (0)
- Session 11 Integrated circuits — Modeling (1982) (0)
- Very High Performance, Ultrathin, Strained-Ge Channel, Heterostructure FETs with High Mobility and Low BTBT Leakage (2006) (0)
- (Invited) The Effect of Germanium/Silicon Interface on Germanium Photonics (2016) (0)
- (Invited) How Far Can We Push Conventional Silicon Technology and What are the Future Alternatives? (2020) (0)
- Low Temperature Processes using Ni-induced Crystallization Technique for Monolithic Three Dimensional Integration (2008) (0)
- WP-A5 oxidation studies of WSi2and PdSi formed by scanned laser-beam reaction (1980) (0)
- Theoretical evaluation of performance in biaxially-strained GaAs and In0.75Ga0.25As NMOS DGFETs (2008) (0)
- Control of Amorphous Silicon Crystallization Using Germanium Deposited by Low Pressure Chemical Vapor Deposition (2000) (0)
- First Demonstration of Ge2Sb2Te5-Based Superlattice Phase Change Memory with Low Reset Current Density (~3 MA/cm2) and Low Resistance Drift (~0.002 at 105°C) (2022) (0)
- Evidence For Heterojunction Effects in Polycrystalline Si 1- x Ge x Thin Film Transistors With Si Caps (1998) (0)
- Effects of PECVD deposition fluxes on the spatial variation of thin film density of as-deposited SiO/sub 2/ films in interconnect structures (1998) (0)
- Demonstration of Electroluminescence from Strained Ge Membrane LED (2012) (0)
- Electro-Thermally Coupled Power Optimization for Future Transistors (2007) (0)
- Incorporation of supply voltage and process variations in the power optimization for future transistors (2005) (0)
- Refractory Metals and Silicides for Very Large Scale Integration Applications (2008) (0)
- PIER: an early treatment of inter-process interactions (1997) (0)
- Characterization of Geometric Leakage Current of $ \hbox{GeO}_{2}$ Isolation and Effect of Forming Gas Annealing in Germanium p-n Junctions (2012) (0)
- Limitation of Optical Enhancement in Ultra-thin Solar Cells Imposed by Contact Selectivity (2018) (0)
- Dramatic and previously overlooked interaction between strain and parasitic absorption in germanium with major implications for Si-compatible lasing (2016) (0)
- Strained Ge nanowire with high-Q optical cavity for Ge laser applications (2015) (0)
- WP-A4 a model for dopant incorporation into silicon epitaxial films (1978) (0)
- Performance Limitation of CMOS with Cu/low-k Interconnects and Future Alternatives (2013) (0)
- SO, Degradation with Charge Injection (1993) (0)
- Ultra-Thin Crystalline Silicon Solar Cells with Nickel Oxide Interlayer as Hole-selective Contact (2017) (0)
- Silicon compatible optical interconnects (2016) (0)
- Laser-Acoustic Techniques for Temperature Measurements in Semiconductor Processing (1991) (0)
- VIB-8 the effect of a superconducting interconnect on circuit performance (1987) (0)
- Ge on Insulator (GOI) Structure Using Ge Lateral Overgrowth (2012) (0)
- Acoustic temperature and film thickness monitor and method (1996) (0)
- Novel Ge Devices with High- κ Dielectrics: High Performance MOSFETs and Optical Receivers (2003) (0)
- Technology and Modeling of Submicron Contacts (1987) (0)
- Surface profile simulation of etching and deposition processes (1991) (0)
- Performance Limitations of Devices and Interconnects and Possible Alternatives for Nanoelectronics (2004) (0)
- Low-threshold optically pumped lasing in highly strained germanium nanowires (2017) (0)
- Basic Single-Event and Total-Ionizing Dose Mechanisms in Ge/InGaAs-based CMOS Transistors with ALD High k-Dielectric (2020) (0)
- Accelerated Breakdown in Thin Oxide Films Due to Interfacial Stress and Carrier Depletion (1996) (0)
- Low thermal budget germanium MOS technology (2004) (0)
- 3D-stacked Highly Strained SiGe/Ge Gate-All-Around (GAA) pFETs Fabricated by 3D Ge Condensation (2019) (0)
- GaP source-drain SOI 1T-DRAM: Solving the key technological challenges (2013) (0)
- Strained Ge Light Emitter with Ge on Dual Insulators for Improved Thermal Conduction and Optical Insulation (2015) (0)
- Remarkable interplay between strain and parasitic absorption unravelling the best route for Si-compatible Germanium laser at room temperature (2016) (0)
- Passivation of Poly-Si Thin-Film Transistors With Ion-Implanted Deuterium (1998) (0)
- Understanding Interface-Controlled Resistance Drift in Superlattice Phase Change Memory (2022) (0)
- Highly-strained Germanium as a gain medium for silicon-compatible lasers (2012) (0)
- Metal-semiconductor-metal (MSM) photodetectors based on single-walled carbon nanotube film-silicon Schottky contacts (2008) (0)
- ( DRAFT Version 2 ) Interconnect Technology Beyond the Roadmap (1999) (0)
- High Performance, Strained-Ge, Heterostructure p-MOSFETs (2007) (0)
- Ge Based High Performance Nanoscale MOSFETs and Integrated Optical Interconnects (2004) (0)
- Investigation of Nickel Oxide as Carrier-selective Interlayer for Silicon Solar Cell Contacts (2018) (0)
- Power/Performance Based Scalability Comparisons between Conventional and Novel Transistors Down to 32nm Technology Node (2006) (0)
- Low Resistance III-V Heterocontacts to N-Ge (2018) (0)
- Summary Abstract: Characterization of thermally nitrided SiO2 using Auger sputter profiling (1985) (0)
- United States Patent ( 19 ) Saraswat (2017) (0)
- Non-Selective RF Plasma Enhanced Chemical Vapor Deposition of Tungsten (1988) (0)
- (Invited) Differential Hall Effect Metrology (DHEM) Sub-Nm Profiling and Its Application to Dopant Activation in n-Type Ge (2020) (0)
- Ge and SiGe for High Performance MOSFETs and Integrated Optical Interconnects (2004) (0)
- High voltage silicon gate MOS integrated circuits (1975) (0)
- Simulation for efficient Germanium VCSEL for optical interconnects (2012) (0)
- Low Temperature Boron Activation in Amorphous Germanium for Three Dimensional Integrated Circuits (3D-ICs) using Ni-induced Crystallization (2008) (0)
- Characterizations of Direct Band Gap PL and EL from epi-Ge on Si (2010) (0)
This paper list is powered by the following services:
Other Resources About Krishna Saraswat
What Schools Are Affiliated With Krishna Saraswat?
Krishna Saraswat is affiliated with the following schools: