Luca Benini
#69,584
Most Influential Person Now
Researcher
Luca Benini's AcademicInfluence.com Rankings
Luca Beniniengineering Degrees
Engineering
#1321
World Rank
#1974
Historical Rank
Electrical Engineering
#172
World Rank
#199
Historical Rank
Luca Beninicomputer-science Degrees
Computer Science
#2302
World Rank
#2399
Historical Rank
Computer Architecture
#11
World Rank
#11
Historical Rank
Database
#233
World Rank
#242
Historical Rank
Download Badge
Engineering Computer Science
Luca Benini's Degrees
- PhD Electrical Engineering University of Bologna
- Masters Computer Engineering University of Bologna
- Bachelors Computer Engineering University of Bologna
Why Is Luca Benini Influential?
(Suggest an Edit or Addition)Luca Benini's Published Works
Published Works
- Networks on Chips: A New SoC Paradigm (2002) (3954)
- A survey of design techniques for system-level dynamic power management (2000) (1334)
- NoC synthesis flow for customized domain specific multiprocessor systems-on-chip (2005) (619)
- Policy optimization for dynamic power management (1998) (535)
- Xpipes: a network-on-chip architecture for gigascale systems-on-chip (2004) (498)
- Networks on chips - technology and tools (2006) (494)
- Analysis of power consumption on switch fabrics in network routers (2002) (463)
- Networks on chip: a new paradigm for systems on chip design (2002) (374)
- Soft-to-Hard Vector Quantization for End-to-End Learning Compressible Representations (2017) (368)
- Dynamic power management - design techniques and CAD tools (1997) (365)
- System-level power optimization: techniques and tools (2000) (353)
- Analysis of error recovery schemes for networks on chips (2005) (352)
- Modeling and Optimization of a Solar Energy Harvester System for Self-Powered Wireless Sensor Networks (2008) (346)
- Activity Recognition from On-Body Sensors: Accuracy-Power Trade-Off by Dynamic Sensor Selection (2008) (320)
- Asymptotic zero-transition activity encoding for address busses in low-power microprocessor-based systems (1997) (313)
- Design Issues and Considerations for Low-Cost 3-D TSV IC Technology (2010) (306)
- MPARM: Exploring the Multi-Processor SoC Design Space with SystemC (2005) (272)
- Design of a Solar-Harvesting Circuit for Batteryless Embedded Systems (2009) (270)
- Error control schemes for on-chip communication links: the energy-reliability tradeoff (2005) (248)
- /spl times/pipesCompiler: a tool for instantiating application specific networks on chip (2004) (246)
- Dynamic power management for portable systems (2000) (241)
- Near-Threshold RISC-V Core With DSP Extensions for Scalable IoT Endpoint Devices (2016) (237)
- Dynamic power management for nonstationary service requests (1999) (232)
- Cycle-accurate simulation of energy consumption in embedded systems (1999) (231)
- Dynamic voltage scaling and power management for portable systems (2001) (229)
- Hibernus: Sustaining Computation During Intermittent Supply for Energy-Harvesting Systems (2015) (223)
- Platform 2012, a many-core computing accelerator for embedded SoCs: Performance evaluation of visual analytics applications (2012) (213)
- Designing Application-Specific Networks on Chips with Floorplan Information (2006) (211)
- P2012: Building an ecosystem for a scalable, modular and high-efficiency embedded computing accelerator (2012) (205)
- A wearable biosensing system with in-sensor adaptive machine learning for hand gesture recognition (2020) (203)
- Event-driven power management (2001) (203)
- State assignment for low power dissipation (1994) (199)
- Low power error resilient encoding for on-chip data buses (2002) (197)
- Analyzing on-chip communication in a MPSoC environment (2004) (194)
- Distributed Compressive Sampling for Lifetime Optimization in Dense Wireless Sensor Networks (2012) (194)
- YodaNN: An Architecture for Ultralow Power Binary-Weight CNN Acceleration (2016) (189)
- Automatic synthesis of low-power gated-clock finite-state machines (1996) (187)
- A low-overhead fault tolerance scheme for TSV-based 3D network on chip links (2008) (187)
- Context-Adaptive Multimodal Wireless Sensor Network for Energy-Efficient Gas Monitoring (2013) (181)
- YodaNN: An Ultra-Low Power Convolutional Neural Network Accelerator Based on Binary Weights (2016) (180)
- Real-time scheduling for energy harvesting sensor nodes (2007) (179)
- Tracking Motion Direction and Distance With Pyroelectric IR Sensors (2010) (176)
- Dynamic power management using adaptive learning tree (1999) (175)
- Human body heat for powering wearable devices: From thermal energy to application (2017) (175)
- A Low Cost, Highly Scalable Wireless Sensor Network Solution to Achieve Smart LED Light Control for Green Buildings (2015) (170)
- On-Chip Communication Architectures: System on Chip Interconnect (2008) (169)
- Dynamic Power Management (1998) (168)
- Quantitative comparison of power management algorithms (2000) (168)
- Hibernus++: A Self-Calibrating and Adaptive System for Transiently-Powered Embedded Devices (2016) (166)
- A discrete-time battery model for high-level power estimation (2000) (166)
- Powering networks on chips (2001) (164)
- CAS-CNN: A deep convolutional neural network for image compression artifact suppression (2016) (162)
- Bluetooth indoor localization with multiple neural networks (2010) (161)
- Energy-efficient design of battery-powered embedded systems (1999) (160)
- Designing low-power circuits: practical recipes (2001) (157)
- CMOS DNA Sensor Array With Integrated A/D Conversion Based on Label-Free Capacitance Measurement (2006) (157)
- A Versatile Embedded Platform for EMG Acquisition and Gesture Recognition (2015) (156)
- Saving power by synthesizing gated clocks for sequential circuits (1994) (155)
- Address bus encoding techniques for system-level power optimization (1998) (153)
- An integrated hardware/software approach for run-time scratchpad management (2004) (151)
- Origami: A Convolutional Network Accelerator (2015) (151)
- SunFloor 3D: A tool for Networks On Chip topology synthesis for 3D systems on chips (2009) (149)
- Network-on-chip architectures and design methods (2005) (147)
- System-level power optimization: techniques and tools (1999) (145)
- Packetization and routing analysis of on-chip multiprocessor networks (2004) (144)
- Operating-system directed power reduction (2000) (143)
- The Cost of Application-Class Processing: Energy and Performance Analysis of a Linux-Ready 1.7-GHz 64-Bit RISC-V Core in 22-nm FDSOI Technology (2019) (142)
- In-memory hyperdimensional computing (2019) (141)
- Network-on-Chip design and synthesis outlook (2008) (141)
- System-level power estimation and optimization (1998) (139)
- Discrete-time battery models for system-level low-power design (2001) (139)
- SystemC Cosimulation and Emulation of Multiprocessor SoC Designs (2003) (138)
- Beyond duty cycling: Wake-up radio with selective awakenings for long-lived wireless sensing systems (2015) (137)
- Mapping and physical planning of networks-on-chip architectures with quality-of-service guarantees (2005) (136)
- Origami: A 803-GOp/s/W Convolutional Network Accelerator (2015) (135)
- Adaptive Power Management in Energy Harvesting Systems (2007) (131)
- Design, Implementation, and Performance Evaluation of a Flexible Low-Latency Nanowatt Wake-Up Radio Receiver (2016) (129)
- A survey of multi-source energy harvesting systems (2013) (128)
- Compilers and Operating Systems for Low Power (2012) (128)
- Activity recognition from on-body sensors by classifier fusion: sensor scalability and robustness (2007) (125)
- Adaptive Power Management for Environmentally Powered Systems (2010) (124)
- Anomaly Detection using Autoencoders in High Performance Computing Systems (2018) (124)
- Hyperdimensional biosignal processing: A case study for EMG-based hand gesture recognition (2016) (123)
- Application Specific NoC Design (2006) (122)
- Clock-tree power optimization based on RTL clock-gating (2003) (121)
- A fully-synthesizable single-cycle interconnection network for Shared-L1 processor clusters (2011) (120)
- Architectures and synthesis algorithms for power-efficient businterfaces (2000) (116)
- A high-efficiency wind-flow energy harvester using micro turbine (2010) (114)
- Power optimization of core-based systems by address bus encoding (1998) (114)
- Clock Skew Optimization for Peak Current Reduction (1996) (113)
- GAP-8: A RISC-V SoC for AI at the Edge of the IoT (2018) (113)
- A 64-mW DNN-Based Visual Navigation Engine for Autonomous Nano-Drones (2018) (112)
- Fault Tolerance Overhead in Network-on-Chip Flow Control Schemes (2005) (111)
- Synthesis of networks on chips for 3D systems on chips (2009) (111)
- Wireless sensor networks: Enabling technology for ambient intelligence (2006) (110)
- Thermal and Energy Management of High-Performance Multicores: Distributed and Self-Calibrating Model-Predictive Controller (2013) (109)
- Battery-Driven Dynamic Power Management (2001) (108)
- Selective instruction compression for memory energy reduction in embedded systems (1999) (108)
- A post-compiler approach to scratchpad mapping of code (2004) (105)
- Temperature Control of High-Performance Multi-core Platforms Using Convex Optimization (2008) (105)
- Power-aware operating systems for interactive systems (2002) (103)
- DNA detection by integrable electronics. (2004) (103)
- Extended Wireless Monitoring Through Intelligent Hybrid Energy Supply (2014) (103)
- Contrasting a NoC and a Traditional Interconnect Fabric with Layout Awareness (2006) (103)
- Accelerating real-time embedded scene labeling with convolutional networks (2015) (103)
- An Efficient Solar Energy Harvester for Wireless Sensor Nodes (2008) (102)
- Polynomial-time algorithm for on-chip scratchpad memory partitioning (2003) (102)
- System-level power optimization of special purpose applications: the Beach Solution (1997) (101)
- Source code optimization and profiling of energy consumption in embedded systems (2000) (101)
- Algorithms for harvested energy prediction in batteryless wireless sensor networks (2009) (101)
- Low-power task scheduling for multiple devices (2000) (101)
- A survey of Boolean matching techniques for library binding (1997) (100)
- Analytic comparison of wake-up receivers for WSNs and benefits over the wake-on radio scheme (2012) (100)
- An IoT Endpoint System-on-Chip for Secure and Energy-Efficient Near-Sensor Analytics (2016) (99)
- A Fully Electronic Label-Free DNA Sensor Chip (2007) (99)
- Transformation and synthesis of FSMs for low-power gated-clock implementation (1995) (98)
- Real-time scheduling with regenerative energy (2006) (97)
- Efficient Biosignal Processing Using Hyperdimensional Computing: Network Templates for Combined Learning and Classification of ExG Signals (2019) (96)
- Xpipes: A latency insensitive parameterized network-on-chip architecture for multi-processor SoCs (2003) (94)
- Slotted ALOHA on LoRaWAN-Design, Analysis, and Deployment (2019) (94)
- Packetized on-chip interconnect communication analysis for MPSoC (2003) (94)
- Low Power Control Techniques For TFT LCD Displays (2002) (93)
- Regression-based RTL power modeling (2000) (93)
- Energy-aware design techniques for differential power analysis protection (2003) (92)
- Hardware-assisted data compression for energy minimization in systems with embedded processors (2002) (92)
- A solar energy harvesting circuit for low power applications (2008) (90)
- Compressive Sensing Optimization for Signal Ensembles in WSNs (2014) (89)
- A scalable algorithm for RTL insertion of gated clocks based on ODCs computation (2005) (89)
- A ultra-low-energy convolution engine for fast brain-inspired vision in multicore clusters (2015) (88)
- A multi-path routing strategy with guaranteed in-order packet delivery and fault-tolerance for networks on chip (2006) (88)
- Lazy Scheduling for Energy Harvesting Sensor Nodes (2006) (88)
- PULP-NN: accelerating quantized neural networks on parallel ultra-low-power RISC-V processors (2019) (86)
- Decision Diagrams and Pass Transistor Logic Synthesis (1997) (86)
- Increasing Energy Efficiency of Embedded Systems by Application-Specific Memory Hierarchy Generation (2000) (86)
- Layout-driven memory synthesis for embedded systems-on-chip (2002) (85)
- Interfacing human and computer with wireless body area sensor networks: the WiMoCA solution (2008) (85)
- Gesture Recognition in Ego-centric Videos Using Dense Trajectories and Hand Segmentation (2014) (85)
- Benefits of Wake-Up Radio in Energy-Efficient Multimodal Surveillance Wireless Sensor Network (2014) (84)
- Telescopic units: a new paradigm for performance optimization of VLSI designs (1998) (84)
- Neurostream: Scalable and Energy Efficient Deep Learning with Smart Memory Cubes (2017) (84)
- Slow and steady wins the race? A comparison of ultra-low-power RISC-V cores for Internet-of-Things applications (2017) (82)
- PULP: A parallel ultra low power platform for next generation IoT applications (2015) (82)
- Enhancing the spatial resolution of presence detection in a PIR based wireless surveillance network (2007) (81)
- Supporting vertical links for 3D networks-on-chip: toward an automated design and analysis flow (2007) (81)
- A transprecision floating-point platform for ultra-low power computing (2017) (81)
- Discharge current steering for battery lifetime optimization (2002) (81)
- Glitch power minimization by selective gate freezing (2000) (80)
- TOM: a web-based integrated approach for identification of candidate disease genes (2006) (80)
- Dynamic Thermal Clock Skew Compensation using Tunable Delay Buffers (2006) (79)
- Combining Simulation and Formal Methods for System-Level Performance Analysis (2006) (79)
- A Power Modeling and Estimation Framework for VLIW-based Embedded Systems (2001) (78)
- Thermal Balancing Policy for Multiprocessor Stream Computing Platforms (2009) (78)
- Allocation and Scheduling for MPSoCs via decomposition and no-good generation (2005) (77)
- Performance Analysis of Arbitration Policies for SoC Communication Architectures (2003) (77)
- FANN-on-MCU: An Open-Source Toolkit for Energy-Efficient Neural Network Inference at the Edge of the Internet of Things (2019) (77)
- Monitoring system activity for OS-directed dynamic power management (1998) (77)
- Event-driven power management of portable systems (1999) (77)
- An effective multi-source energy harvester for low power applications (2011) (76)
- A distributed and self-calibrating model-predictive controller for energy and thermal management of high-performance multicores (2011) (76)
- Ensuring Survivability of Resource-Intensive Sensor Networks Through Ultra-Low Power Overlays (2014) (75)
- XNOR Neural Engine: A Hardware Accelerator IP for 21.6-fJ/op Binary Neural Network Inference (2018) (75)
- A recursive algorithm for low-power memory partitioning (2000) (75)
- InfiniTime: Multi-sensor wearable bracelet with human body harvesting (2016) (75)
- Multicore thermal management with model predictive control (2009) (74)
- Exploring "temperature-aware" design in low-power MPSoCs (2006) (74)
- An EMG Gesture Recognition System with Flexible High-Density Sensors and Brain-Inspired High-Dimensional Classifier (2018) (73)
- Symbolic synthesis of clock-gating logic for power optimization of synchronous controllers (1999) (73)
- Extending lifetime of portable systems by battery scheduling (2001) (72)
- On-Demand LoRa: Asynchronous TDMA for Energy Efficient and Low Latency Communication in IoT (2018) (72)
- Energy and performance exploration of accelerator coherency port using Xilinx ZYNQ (2013) (72)
- A Wireless Body Area Sensor Network for Posture Detection (2006) (72)
- An efficient and complete approach for throughput-maximal SDF allocation and scheduling on multi-core platforms (2010) (72)
- Bringing NoCs to 65 nm (2007) (71)
- A virtual platform environment for exploring power, thermal and reliability management control strategies in high-performance multicores (2010) (71)
- An ultra low power high sensitivity wake-up radio receiver with addressing capability (2014) (70)
- Photovoltaic cell modeling for solar energy powered sensor networks (2007) (70)
- Networks on Chips: From research to products (2010) (70)
- Xpipes: a latency insensitive parameterized network-on-chip architecture for multiprocessor SoCs (2003) (70)
- Performability/Energy Tradeoff in Error-Control Schemes for On-Chip Networks (2010) (69)
- Energy-aware design of embedded memories: A survey of technologies, architectures, and optimization techniques (2003) (69)
- At-Speed Distributed Functional Testing to Detect Logic and Delay Faults in NoCs (2014) (68)
- An OpenMP Compiler for Efficient Use of Distributed Scratchpad Memory in MPSoCs (2012) (68)
- Approximate associative memristive memory for energy-efficient GPUs (2015) (68)
- A distributed and topology-agnostic approach for on-line NoC testing (2011) (66)
- An integrated multi-modal sensor network for video surveillance (2005) (66)
- Accelerometer-based fall detection using optimized ZigBee data streaming (2010) (66)
- PULP: A Ultra-Low Power Parallel Accelerator for Energy-Efficient and Flexible Embedded Vision (2016) (65)
- Comparison of energy intake prediction algorithms for systems powered by photovoltaic harvesters (2010) (65)
- A fast HW/SW FPGA-based thermal emulation framework for multi-processor system-on-chip (2006) (65)
- Dynamic frequency scaling with buffer insertion for mixed workloads (2002) (65)
- One-shot Learning for iEEG Seizure Detection Using End-to-end Binary Operations: Local Binary Patterns with Hyperdimensional Computing (2018) (65)
- Regression Models for Behavioral Power Estimation (1998) (64)
- Analysis of glitch power dissipation in CMOS ICs (1995) (64)
- Energy characterization of embedded real-time operating systems (2001) (64)
- A low-power wireless video sensor node for distributed object detection (2007) (64)
- A 60 GOPS/W, −1.8 V to 0.9 V body bias ULP cluster in 28 nm UTBB FD-SOI technology (2016) (63)
- NoC Design and Implementation in 65nm Technology (2007) (63)
- Dynamic energy burst scaling for transiently powered systems (2016) (63)
- A 60 GOPS/W, −1.8 V to 0.9 V body bias ULP cluster in 28 nm UTBB FD-SOI technology (2016) (63)
- Mr.Wolf: An Energy-Precision Scalable Parallel Ultra Low Power SoC for IoT Edge Processing (2019) (62)
- Analysis of Audio Streaming Capability of Zigbee Networks (2008) (61)
- Adaptive Rectifier Driven by Power Intake Predictors for Wind Energy Harvesting Sensor Networks (2015) (61)
- Cycle-accurate power analysis for multiprocessor systems-on-a-chip (2004) (61)
- An Integrated Open Framework for Heterogeneous MPSoC Design Space Exploration (2006) (60)
- Characterization and Implementation of Fault-Tolerant Vertical Links for 3-D Networks-on-Chip (2011) (60)
- Memory design techniques for low energy embedded systems (2002) (60)
- Thermal Balancing Policy for Streaming Computing on Multiprocessor Architectures (2008) (60)
- An Application-Specific Design Methodology for On-Chip Crossbar Generation (2007) (60)
- An sEMG-Based Human–Robot Interface for Robotic Hands Using Machine Learning and Synergies (2018) (60)
- Discovering coherent biclusters from gene expression data using zero-suppressed binary decision diagrams (2005) (59)
- Design and implementation of WiMoCA node for a body area wireless sensor network (2005) (59)
- Hybrid EMG classifier based on HMM and SVM for hand gesture recognition in prosthetics (2015) (59)
- WULoRa: An energy efficient IoT end-node for energy harvesting and heterogeneous communication (2017) (59)
- Lookup table power macro-models for behavioral library components (1999) (59)
- Power Line Interference Removal for High-Quality Continuous Biosignal Monitoring With Low-Power Wearable Devices (2016) (59)
- Label-free cancer markers detection by capacitance biochip (2009) (59)
- CMix-NN: Mixed Low-Precision CNN Library for Memory-Constrained Edge Devices (2020) (58)
- Design, characterization and management of a wireless sensor network for smart gas monitoring (2011) (58)
- Graceful Performance Modulation for Power-Neutral Transient Computing Systems (2016) (58)
- Hardware Optimizations of Dense Binary Hyperdimensional Computing: Rematerialization of Hypervectors, Binarized Bundling, and Combinational Associative Memory (2018) (57)
- An efficient distributed memory interface for many-core platform with 3D stacked DRAM (2010) (57)
- Robust and Low Complexity Rate Control for Solar Powered Sensors (2008) (57)
- Automatic synthesis of gated clocks for power reduction in sequential circuits (1994) (57)
- An Effective Gray-Box Identification Procedure for Multicore Thermal Modeling (2014) (57)
- Workload and user experience-aware Dynamic Reliability Management in multicore processors (2013) (56)
- Power aware network interface management for streaming multimedia (2002) (55)
- Design space exploration for 3D-stacked DRAMs (2011) (54)
- Contents provider-assisted dynamic voltage scaling for low energy multimedia applications (2002) (54)
- A Layout-Aware Analysis of Networks-on-Chip and Traditional Interconnects for MPSoCs (2007) (54)
- Smart power unit with ultra low power radio trigger capabilities for wireless sensor networks (2012) (54)
- Photovoltaic scavenging systems: Modeling and optimization (2009) (54)
- Robust Real-Time Embedded EMG Recognition Framework Using Temporal Convolutional Networks on a Multicore IoT Processor (2019) (54)
- A Scalable Near-Memory Architecture for Training Deep Neural Networks on Large In-Memory Datasets (2018) (54)
- Cache coherence tradeoffs in shared-memory MPSoCs (2006) (53)
- An efficient profile-based algorithm for scratchpad memory partitioning (2005) (53)
- A semisupervised autoencoder-based approach for anomaly detection in high performance computing systems (2019) (53)
- Gate-level power and current simulation of CMOS integrated circuits (1997) (53)
- A Multi-Harvester architecture with hybrid storage devices and smart capabilities for low power systems (2012) (53)
- A Method for Routing Packets Across Multiple Paths in NoCs with In-Order Delivery and Fault-Tolerance Gaurantees (2007) (53)
- Robust high-dimensional memory-augmented neural networks (2020) (52)
- A Reconfigurable 5-to-14 bit SAR ADC for Battery-Powered Medical Instrumentation (2015) (52)
- Combination of hybrid energy harvesters with MEMS piezoelectric and nano-Watt radio wake up to extend lifetime of system for wireless sensor nodes (2013) (52)
- PULP-HD: Accelerating Brain-Inspired High-Dimensional Computing on a Parallel Ultra-Low Power Platform (2018) (52)
- A sensor fusion approach for drowsiness detection in wearable ultra-low-power systems (2018) (52)
- A wireless system for gait and posture analysis based on pressure insoles and Inertial Measurement Units (2009) (51)
- Developing Mesochronous Synchronizers to Enable 3D NoCs (2008) (51)
- Spatial Memoization: Concurrent Instruction Reuse to Correct Timing Errors in SIMD Architectures (2013) (50)
- Synthesis of low-overhead interfaces for power-efficient communication over wide buses (1999) (50)
- Design and Evaluation of a Processing-in-Memory Architecture for the Smart Memory Cube (2016) (50)
- NEURAghe: Exploiting CPU-FPGA Synergies for Efficient and Flexible CNN Inference Acceleration on Zynq SoCs (2017) (50)
- Laelaps: An Energy-Efficient Seizure Detection Algorithm from Long-term Human iEEG Recordings without False Alarms (2019) (49)
- Reducing switching activity on datapath buses with control-signal gating (1998) (49)
- Continuous learning of HPC infrastructure models using big data analytics and in-memory processing tools (2017) (48)
- Requester-aware power reduction (2000) (48)
- A Prosthetic Hand Body Area Controller Based on Efficient Pattern Recognition Control Strategies (2017) (48)
- A Feedback-Based Approach to DVFS in Data-Flow Applications (2009) (48)
- Multimodal Abandoned/Removed Object Detection for Low Power Video Surveillance Systems (2009) (48)
- Cached-code compression for energy minimization in embedded processors (2001) (47)
- VirtualSoC: A Full-System Simulation Environment for Massively Parallel Heterogeneous System-on-Chip (2013) (47)
- Bio-feedback system for rehabilitation based on a wireless body area network (2006) (47)
- Battery-driven dynamic power management of portable systems (2000) (47)
- Load optimization of an inductive power link for remote powering of biomedical implants (2009) (47)
- HW-SW emulation framework for temperature-aware design in MPSoCs (2007) (47)
- Online Learning and Classification of EMG-Based Gestures on a Parallel Ultra-Low Power Platform Using Hyperdimensional Computing (2019) (47)
- An Application-Specific Forecasting Algorithm for Extending WSN Lifetime (2013) (47)
- Gesture Recognition Using Wearable Vision Sensors to Enhance Visitors’ Museum Experiences (2015) (46)
- Memory-Driven Mixed Low Precision Quantization For Enabling Deep Network Inference On Microcontrollers (2019) (46)
- Networks on Chips: A New Paradigm for Component-Based MPSoC Design (2005) (46)
- Component selection and matching for IP-based design (2001) (45)
- Slotted ALOHA Overlay on LoRaWAN - A Distributed Synchronization Approach (2018) (45)
- A Multi-Sensor and Parallel Processing SoC for Miniaturized Medical Instrumentation (2018) (45)
- Towards persistent structural health monitoring through sustainable wireless sensor networks (2011) (44)
- Energy-Efficient Near-Threshold Parallel Computing: The PULPv2 Cluster (2017) (43)
- Wearable low power dry surface wireless sensor node for healthcare monitoring application (2013) (43)
- Post-layout leakage power minimization based on distributed sleep transistor insertion (2004) (43)
- Synthesis of Predictable Networks-on-Chip-Based Interconnect Architectures for Chip Multiprocessors (2007) (43)
- A Fast and Accurate Technique for Mapping Parallel Applications on Stream-Oriented MPSoC Platforms with Communication Awareness (2008) (43)
- Multimodal Video Analysis on Self-Powered Resource-Limited Wireless Smart Camera (2013) (43)
- Microelectrodes on a Silicon Chip for Label-Free Capacitive DNA Sensing (2006) (43)
- EMG-based hand gesture recognition with flexible analog front end (2014) (43)
- Hierarchically Focused Guardbanding: An adaptive approach to mitigate PVT variations and aging (2013) (43)
- CBinfer: Change-Based Inference for Convolutional Neural Networks on Video Data (2017) (42)
- Adaptive power control for solar harvesting multimodal wireless smart camera (2009) (42)
- ReliNoC: A reliable network for priority-based on-chip communication (2011) (42)
- Transport protocol optimization for energy efficient wireless embedded systems (2003) (41)
- Robust Scheduling of Task Graphs under Execution Time Uncertainty (2013) (41)
- Gesture Signature for Ambient Intelligence Applications: A Feasibility Study (2006) (41)
- Power, Area, and Performance Optimization of Standard Cell Memory Arrays Through Controlled Placement (2016) (41)
- Single-Chip Cloud Computer thermal model (2011) (41)
- Ara: A 1-GHz+ Scalable and Energy-Efficient RISC-V Vector Processor With Multiprecision Floating-Point Support in 22-nm FD-SOI (2019) (41)
- Long-short range communication network leveraging LoRa™ and wake-up receiver (2018) (41)
- Hyperdimensional Computing-based Multimodality Emotion Recognition with Physiological Signals (2019) (41)
- Variability Mitigation in Nanometer CMOS Integrated Systems: A Survey of Techniques From Circuits to Software (2016) (40)
- Exploration and Optimization of 3-D Integrated DRAM Subsystems (2013) (40)
- EEG-TCNet: An Accurate Temporal Convolutional Network for Embedded Motor-Imagery Brain–Machine Interfaces (2020) (39)
- Approximate Compressed Sensing: Ultra-low power biosignal processing via aggressive voltage scaling on a hybrid memory multi-core processor (2014) (39)
- An Accurate EEGNet-based Motor-Imagery Brain–Computer Interface for Low-Power Edge Computing (2020) (39)
- Computing Accurate Performance Bounds for Best Effort Networks-on-Chip (2013) (39)
- XNORBIN: A 95 TOp/s/W hardware accelerator for binary convolutional neural networks (2018) (38)
- A low power wireless node for contact and contactless heart monitoring (2014) (38)
- Symbolic synthesis of clock-gating logic for power optimization of control-oriented synchronous networks (1997) (38)
- Allocation, Scheduling and Voltage Scaling on Energy Aware MPSoCs (2006) (38)
- Area and Power Modeling for Networks-on-Chip with Layout Awareness (2007) (38)
- Throughput Constraint for Synchronous Data Flow Graphs (2009) (38)
- An energy efficient multimodal Wireless Video Sensor Network with eZ430–RF2500 modules (2010) (38)
- Reliability-aware design for nanometer-scale devices (2008) (38)
- Source code transformation based on software cost analysis (2001) (38)
- Power/Performance Exploration of Single-core and Multi-core Processor Approaches for Biomedical Signal Processing (2011) (37)
- Adaptive least mean square behavioral power modeling (1997) (37)
- Application-Adaptive Guardbanding to Mitigate Static and Dynamic Variability (2014) (37)
- Fast and Accurate Multiclass Inference for MI-BCIs Using Large Multiscale Temporal and Spectral Features (2018) (37)
- A Generic Framework for Modeling MAC Protocols in Wireless Sensor Networks (2017) (37)
- Energy-Efficient Multiprocessor Systems-on-Chip for Embedded Computing: Exploring Programming Models and Their Architectural Support (2007) (37)
- Analysis of instruction-level vulnerability to dynamic voltage and temperature variations (2012) (37)
- Application-specific power-aware workload allocation for voltage scalable MPSoC platforms (2005) (36)
- Kinetic energy harvesting: Toward autonomous wearable sensing for Internet of Things (2016) (36)
- Automatic Synthesis of Large Telescopic Units Based on Near-Minimum Timed Supersetting (1999) (36)
- New insights for using self-assembly materials to improve the detection stability in label-free DNA-chip and immuno-sensors. (2009) (36)
- DeepEmote: Towards multi-layer neural networks in a low power wearable multi-sensors bracelet (2017) (36)
- 193 MOPS/mW @ 162 MOPS, 0.32V to 1.15V voltage range multi-core accelerator for energy efficient parallel and sequential digital processing (2016) (36)
- An Event-Driven Ultra-Low-Power Smart Visual Sensor (2016) (36)
- The ANTAREX approach to autotuning and adaptivity for energy efficient HPC systems (2016) (36)
- Predictive Modeling for Job Power Consumption in HPC Systems (2016) (36)
- Accelerated Visual Context Classification on a Low-Power Smartwatch (2017) (36)
- Hyperdimensional Computing With Local Binary Patterns: One-Shot Learning of Seizure Onset and Identification of Ictogenic Brain Regions Using Short-Time iEEG Recordings (2020) (36)
- Scaling into ambient intelligence (2003) (36)
- System-level power/performance evaluation of 3D stacked DRAMs for mobile applications (2009) (36)
- Optimally Scheduling CNN Convolutions for Efficient Memory Access (2019) (35)
- 3dID: a low-power, low-cost hand motion capture device (2006) (35)
- Exploring High-Dimensional Topologies for NoC Design Through an Integrated Analysis and Synthesis Framework (2008) (35)
- Timing-driven row-based power gating (2007) (35)
- Mr. Wolf: A 1 GFLOP/s Energy-Proportional Parallel Ultra Low Power SoC for IOT Edge Processing (2018) (35)
- Soft-to-Hard Vector Quantization for End-to-End Learned Compression of Images and Neural Networks (2017) (35)
- MOCA: A Low-Power, Low-Cost Motion Capture System Based on Integrated Accelerometers (2007) (35)
- WARM: Workload-Aware Reliability Management in Linux/Android (2017) (35)
- Ultra-low-latency lightweight DMA for tightly coupled multi-core clusters (2014) (35)
- Clamp-and-Forget: A self-sustainable non-invasive wireless sensor node for smart metering applications (2014) (35)
- Network-Level Power-Performance Trade-Off in Wearable Activity Recognition: A Dynamic Sensor Selection Approach (2012) (35)
- Dynamic power management of electronic systems (1998) (34)
- NB-IoT Versus LoRaWAN: An Experimental Evaluation for Industrial Applications (2020) (34)
- Row-Based Power-Gating: A Novel Sleep Transistor Insertion Methodology for Leakage Power Optimization in Nanometer CMOS Circuits (2011) (34)
- Synthesis of application-specific memories for power optimization in embedded systems (2000) (34)
- A novel approach for network on chip emulation (2005) (34)
- GPUguard: Towards supporting a predictable execution model for heterogeneous SoC (2017) (34)
- A Linux-governor based Dynamic Reliability Manager for android mobile devices (2014) (34)
- Chipmunk: A systolically scalable 0.9 mm2, 3.08Gop/s/mW @ 1.2 mW accelerator for near-sensor recurrent neural network inference (2017) (33)
- Designing Message-Dependent Deadlock Free Networks on Chips for Application-Specific Systems on Chips (2006) (33)
- High performance AXI-4.0 based interconnect for extensible smart memory cubes (2015) (33)
- Design of a Flexible Reactivation Cell for Safe Power-Mode Transition in Power-Gated Circuits (2009) (33)
- A control theoretic approach to energy-efficient pipelined computation in MPSoCs (2007) (33)
- An adaptive algorithm for low-power streaming multimedia processing (2001) (33)
- Analysis of Robust Implementation of an EMG Pattern Recognition based Control (2014) (33)
- Pyroelectric InfraRed sensors based distance estimation (2008) (33)
- A new non-invasive voltage measurement method for wireless analysis of electrical parameters and power quality (2013) (33)
- Ultra Low Power Deep-Learning-powered Autonomous Nano Drones (2018) (33)
- Brain-Inspired Classroom Occupancy Monitoring on a Low-Power Mobile Platform (2014) (32)
- Virtual simulation of distributed IP-based designs (1999) (32)
- Energy optimization in 3D MPSoCs with Wide-I/O DRAM using temperature variation aware bank-wise refresh (2014) (32)
- Logic-Base Interconnect Design for Near Memory Computing in the Smart Memory Cube (2017) (32)
- Variability-Aware Task Allocation for Energy-Efficient Quality of Service Provisioning in Embedded Streaming Multimedia Applications (2012) (32)
- Bringing NoCs to 65nm (2007) (32)
- Multi-processor operating system emulation framework with thermal feedback for systems-on-chip (2007) (31)
- A class of code compression schemes for reducing power consumption in embedded microprocessor systems (2004) (31)
- LLHD: a multi-level intermediate representation for hardware description languages (2020) (31)
- Process Variation Tolerant Pipeline Design Through a Placement-Aware Multiple Voltage Island Design Style (2008) (31)
- Design for testability of gated-clock FSMs (1996) (31)
- Pible: battery-free mote for perpetual indoor BLE applications (2018) (31)
- Specification and analysis of power-managed systems (2004) (31)
- Legacy SystemC co-simulation of multi-processor systems-on-chip (2002) (31)
- Distributed video surveillance using hardware-friendly sparse large margin classifiers (2007) (31)
- A multiprocessor system-on-chip for real-time biomedical monitoring and analysis: architectural design space exploration (2006) (31)
- Energy-Efficiency Analysis of Analog and Digital Compressive Sensing in Wireless Sensors (2015) (31)
- Constrained Few-shot Class-incremental Learning (2022) (31)
- Unveiling Eurora — Thermal and power characterization of the most energy-efficient supercomputer in the world (2014) (30)
- Characterization of lithium-ion capacitors for low-power energy neutral wireless sensor networks (2012) (30)
- Using a Wireless Sensor Network to Enhance Video Surveillance (2007) (30)
- 4.6 A 65nm CMOS 6.4-to-29.2pJ/FLOP@0.8V shared logarithmic floating point unit for acceleration of nonlinear function kernels in a tightly coupled processor cluster (2016) (30)
- A Solar-powered Video Sensor Node for Energy Efficient Multimodal Surveillance (2008) (30)
- Reducing power consumption of dedicated processors through instruction set encoding (1998) (30)
- Aging-aware compiler-directed VLIW assignment for GPGPU architectures (2013) (30)
- A variability-aware OpenMP environment for efficient execution of accuracy-configurable computation on shared-FPU processor clusters (2013) (30)
- Energy-Aware Bio-Signal Compressed Sensing Reconstruction on the WBSN-Gateway (2018) (30)
- Neuron Constraints to Model Complex Real-World Problems (2011) (30)
- Thermal image-based CNN's for ultra-low power people recognition (2018) (30)
- Automated DNA fragments recognition and sizing through AFM image processing (2005) (30)
- Resource Management Policy Handling Multiple Use-Cases in MPSoC Platforms Using Constraint Programming (2008) (30)
- An Ensemble of Hyperdimensional Classifiers: Hardware-Friendly Short-Latency Seizure Detection With Automatic iEEG Electrode Selection (2020) (30)
- A method for calculating hard QoS guarantees for Networks-on-Chip (2009) (29)
- Applications of Computation-In-Memory Architectures based on Memristive Devices (2019) (29)
- A high-sensitivity fully passive wake-up radio front-end for wireless sensor nodes (2014) (29)
- FPnew: An Open-Source Multiformat Floating-Point Unit Architecture for Energy-Proportional Transprecision Computing (2020) (29)
- Exploring architectural heterogeneity in intelligent vision systems (2015) (29)
- A Complete Multi-Processor System-on-Chip FPGA-Based Emulation Framework (2006) (29)
- Synthesis of low-overhead configurable source routing tables for network interfaces (2009) (29)
- Specification and validation of distributed IP-based designs with JavaCAD (1999) (29)
- Supporting OpenMP on a multi-cluster embedded MPSoC (2011) (29)
- DBS4video: dynamic luminance backlight scaling based on multi-histogram frame characterization for video streaming application (2008) (29)
- A Heterogeneous Multicore System on Chip for Energy Efficient Brain Inspired Computing (2018) (29)
- Efficient image dataset classification difficulty estimation for predicting deep-learning accuracy (2018) (29)
- NoC topology synthesis for supporting shutdown of voltage islands in SoCs (2009) (28)
- Reliability Support for On-Chip Memories Using Networks-on-Chip (2006) (28)
- HERO: Heterogeneous Embedded Research Platform for Exploring RISC-V Manycore Accelerators on FPGA (2017) (28)
- Power Capping in High Performance Computing Systems (2015) (28)
- Energy-efficient vision on the PULP platform for ultra-low power parallel computing (2014) (28)
- Stochastic allocation and scheduling for conditional task graphs in multi-processor systems-on-chip (2010) (28)
- Quentin: an Ultra-Low-Power PULPissimo SoC in 22nm FDX (2018) (28)
- He-P2012: Architectural heterogeneity exploration on a scalable many-core platform (2014) (28)
- Self-Sustaining Acoustic Sensor With Programmable Pattern Recognition for Underwater Monitoring (2019) (28)
- Dynamic Reconfiguration in Sensor Networks with Regenerative Energy Sources (2007) (27)
- TinyRadarNN: Combining Spatial and Temporal Convolutional Neural Networks for Embedded Gesture Recognition With Short Range Radars (2020) (27)
- Manticore: A 4096-Core RISC-V Chiplet Architecture for Ultraefficient Floating-Point Computing (2020) (27)
- Comparing tightly and loosely coupled mesochronous synchronizers in a NoC switch architecture (2009) (27)
- Dynamic power management of laptop hard disk (2000) (27)
- Towards Transactional Memory Support for GCC (2009) (27)
- Statistical design space exploration for application-specific unit synthesis (2001) (27)
- A method to remove deadlocks in Networks-on-Chips with Wormhole flow control (2010) (27)
- Visual quality analysis for dynamic backlight scaling in LCD systems (2009) (26)
- Scheduling battery usage in mobile systems (2003) (26)
- Robust RTL power macromodels (1998) (26)
- Energy Analysis of Decoders for Rakeness-Based Compressed Sensing of ECG Signals (2017) (26)
- An ultra-low power dual-mode ECG monitor for healthcare and wellness (2015) (26)
- Energy-Efficient Value-Based Selective Refresh for Embedded DRAMs (2005) (26)
- A sub-10mW real-time implementation for EMG hand gesture recognition based on a multi-core biomedical SoC (2017) (26)
- Smart Wearable Wristband for EMG based Gesture Recognition Powered by Solar Energy Harvester (2018) (26)
- A wearable EEG-based drowsiness detection system with blink duration and alpha waves analysis (2017) (26)
- Energy-Efficient Context Aware Power Management with Asynchronous Protocol for Body Sensor Network (2017) (26)
- Power Estimation of Cell-Based CMOS Circuits (1996) (26)
- Tailoring instruction-set extensions for an ultra-low power tightly-coupled cluster of OpenRISC cores (2015) (26)
- System-level dynamic power management (1999) (25)
- Towards near-threshold server processors (2016) (25)
- Exploring the energy efficiency of cache coherence protocols in single-chip multi-processors (2005) (25)
- Aging-Aware Energy-Efficient Workload Allocation for Mobile Multimedia Platforms (2013) (25)
- Enabling fine-grained OpenMP tasking on tightly-coupled shared memory clusters (2013) (25)
- A Transprecision Floating-Point Architecture for Energy-Efficient Embedded Computing (2018) (25)
- An adaptive data compression scheme for memory traffic minimization in processor-based systems (2002) (25)
- Fast and lightweight support for nested parallelism on cluster-based embedded many-cores (2012) (25)
- Designing next-generation smart sensor hubs for the Internet-of-Things (2013) (25)
- Pervasive computing for interactive virtual heritage (2005) (25)
- On-the-fly layout generation for PTL macrocells (2001) (25)
- Snitch: A Tiny Pseudo Dual-Issue Processor for Area and Energy Efficient Execution of Floating-Point Intensive Workloads (2020) (25)
- A versatile biomedical wireless sensor node with novel drysurface sensors and energy efficient power management (2013) (24)
- SoC-TM: Integrated HW/SW support for transactional memory programming on embedded MPSoCs (2011) (24)
- Low-power processor architecture exploration for online biomedical signal analysis (2012) (24)
- Customizing an open source processor to fit in an ultra-low power cluster with a shared L1 memory (2014) (24)
- Combining PREM compilation and ILP scheduling for high-performance and predictable MPSoC execution (2018) (24)
- A low-power motion capture system with integrated accelerometers [gesture recognition applications] (2004) (24)
- Minimization of the reconfiguration latency for the mapping of applications on FPGA-based systems (2009) (24)
- Fine-Grained Power and Body-Bias Control for Near-Threshold Deep Sub-Micron CMOS Circuits (2011) (24)
- Efficient system-level prototyping of power-aware dynamic memory managers for embedded systems (2006) (24)
- A Biosensor for Direct Detection of DNA Sequences Based on Capacitance Measurements (2002) (24)
- Improving Java performance using dynamic method migration on FPGAs (2004) (24)
- Timing-Error-Tolerant Network-on-Chip Design Methodology (2007) (24)
- Characterization-free behavioral power modeling (1998) (23)
- BioWolf: A Sub-10-mW 8-Channel Advanced Brain–Computer Interface Platform With a Nine-Core Processor and BLE Connectivity (2019) (23)
- 4.4 A 1.3TOPS/W @ 32GOPS Fully Integrated 10-Core SoC for IoT End-Nodes with 1.7μW Cognitive Wake-Up From MRAM-Based State-Retentive Sleep Mode (2021) (23)
- Telescopic units: increasing the average throughput of pipelined designs by adaptive latency control (1997) (23)
- Micro Kinetic Energy Harvesting for Autonomous Wearable Devices (2018) (23)
- An Open Source and Open Hardware Deep Learning-Powered Visual Navigation Engine for Autonomous Nano-UAVs (2019) (23)
- Paving the Way Towards a Highly Energy-Efficient and Highly Integrated Compute Node for the Exascale Revolution: The ExaNoDe Approach (2017) (23)
- TOM: enhancement and extension of a tool suite for in silico approaches to multigenic hereditary disorders (2008) (23)
- XpulpNN: Accelerating Quantized Neural Networks on RISC-V Processors Through ISA Extensions (2020) (23)
- Software-controlled processor speed setting for low-power streamingmultimedia (2001) (23)
- Multi-stage Benders Decomposition for Optimizing Multicore Architectures (2008) (22)
- SDRAM-Energy-Aware memory allocation for dynamic multi-media applications on multi-processor platforms (2003) (22)
- Associative Memristive Memory for Approximate Computing in GPUs (2016) (22)
- CBinfer: Exploiting Frame-to-Frame Locality for Faster Convolutional Network Inference on Video Streams (2018) (22)
- Simplifying Many-Core-Based Heterogeneous SoC Programming With Offload Directives (2015) (22)
- Co-clustering: A Versatile Tool for Data Analysis in Biomedical Informatics (2007) (22)
- Sound event detection with binary neural networks on tightly power-constrained IoT devices (2020) (22)
- Comparative Analysis of NoCs for Two-Dimensional Versus Three-Dimensional SoCs Supporting Multiple Voltage and Frequency Islands (2010) (22)
- Design of an Energy Aware Petaflops Class High Performance Cluster Based on Power Architecture (2017) (22)
- Efficient OpenMP support and extensions for MPSoCs with explicitly managed memory hierarchy (2009) (22)
- A Sub-mW IoT-Endnode for Always-On Visual Monitoring and Smart Triggering (2017) (22)
- Controlled placement of standard cell memory arrays for high density and low power in 28nm FD-SOI (2015) (22)
- Variation-tolerant OpenMP tasking on tightly-coupled processor clusters (2013) (22)
- Physically clustered forward body biasing for variability compensation in nanometer CMOS design (2009) (22)
- Scheduling-based power capping in high performance computing systems (2018) (22)
- MPSoC ECG biochip: a multiprocessor system-on-chip for real-time human heart monitoring and analysis (2006) (22)
- Distributed EDA tool integration: the PPP paradigm (1996) (21)
- Human–Robot Cognitive Interaction (2008) (21)
- Low-cost and distributed health monitoring system for critical buildings (2017) (21)
- Non-intrusive Zigbee power meter for load monitoring in smart buildings (2015) (21)
- Improving the programmability of STHORM-based heterogeneous systems with offload-enabled OpenMP (2013) (21)
- A Low-Power Architecture for Punctured Compressed Sensing and Estimation in Wireless Sensor-Nodes (2015) (21)
- Fully Electronic CMOS DNA Detection Array Based on Capacitance Measurement with On-Chip Analog-to-Digital Conversion (2006) (21)
- Leveraging Energy Harvesting and Wake-Up Receivers for Long-Term Wireless Sensor Networks (2018) (21)
- Vega: A Ten-Core SoC for IoT Endnodes With DNN Acceleration and Cognitive Wake-Up From MRAM-Based State-Retentive Sleep Mode (2021) (21)
- GPGPU-Accelerated Parallel and Fast Simulation of Thousand-Core Platforms (2011) (21)
- Electronic Detection of DNA Hybridization: Toward CMOS Microarrays (2007) (21)
- Efficient Computation of Discharge Current Upper Bounds for Clustered Sleep Transistor Sizing (2007) (21)
- A scalable ODC-based algorithm for RTL insertion of gated clocks (2004) (21)
- Adaptive idleness distribution for non-uniform aging tolerance in MultiProcessor Systems-on-Chip (2009) (21)
- Compressive sensing optimization over ZigBee networks (2010) (21)
- FlexFloat: A Software Library for Transprecision Computing (2020) (21)
- Designing Regular Network-on-Chip Topologies under Technology, Architecture and Software Constraints (2009) (21)
- Reducing cache misses by application-specific re-configurable indexing (2004) (21)
- A high-efficiency runtime reconfigurable IP for CNN acceleration on a mid-range all-programmable SoC (2016) (20)
- IEEE/ACM TRANSACTIONS ON (2004) (20)
- Computationally efficient target classification in multispectral image data with Deep Neural Networks (2016) (20)
- Collecting Datasets from Ambient Intelligence Environments (2010) (20)
- Bias-Compensated Least Squares Identification of Distributed Thermal Models for Many-Core Systems-on-Chip (2014) (20)
- Design and Evaluation of a Low-Power Sensor Device for Induced Rockfall Experiments (2018) (20)
- Sub-Sampling Framework Comparison for Low-Power Data Gathering: A Comparative Analysis (2015) (20)
- Enabling the heterogeneous accelerator model on ultra-low power microcontroller platforms (2016) (20)
- HVS-DBS: human visual system-aware dynamic luminance backlight scaling for video streaming applications (2009) (20)
- Fully Onboard AI-Powered Human-Drone Pose Estimation on Ultralow-Power Autonomous Flying Nano-UAVs (2021) (20)
- Analysis of Evolving SoC Interconnect Protocols (2004) (20)
- Optimum Excitations for a Dual-Band Microwatt Wake-Up Radio (2016) (20)
- Quantifying the impact of variability on the energy efficiency for a next-generation ultra-green supercomputer (2014) (20)
- Kinetic AC/DC Converter for Electromagnetic Energy Harvesting in Autonomous Wearable Devices (2017) (20)
- Context aware power management for motion-sensing body area network nodes (2014) (20)
- Structural Health Monitoring System With Narrowband IoT and MEMS Sensors (2021) (20)
- A Constraint Programming Scheduler for Heterogeneous High-Performance Computing Machines (2016) (20)
- Energy-aware cooling for hot-water cooled supercomputers (2015) (20)
- Online Anomaly Detection in HPC Systems (2019) (20)
- Variation-Tolerant Architecture for Ultra Low Power Shared-L1 Processor Clusters (2012) (20)
- Towards EMG control interface for smart garments (2014) (19)
- An energy efficient DRAM subsystem for 3D integrated SoCs (2012) (19)
- Exploring instruction caching strategies for tightly-coupled shared-memory clusters (2011) (19)
- Optimal resource allocation and scheduling for the CELL BE platform (2011) (19)
- Energy-efficient GPGPU architectures via collaborative compilation and memristive memory-based computing (2014) (19)
- Design of a family of sleep transistor cells for a clustered power-gating flow in 65nm technology (2007) (19)
- Hyperdrive: A Systolically Scalable Binary-Weight CNN Inference Engine for mW IoT End-Nodes (2018) (19)
- Long term, low cost, passive environmental monitoring of heritage buildings for energy efficiency retrofitting (2013) (19)
- Energy-efficient and reliable low-swing signaling for on-chip buses based on redundant coding (2002) (19)
- Quantifying the Impact of Variability and Heterogeneity on the Energy Efficiency for a Next-Generation Ultra-Green Supercomputer (2018) (19)
- GENESI: Green sEnsor NEtworks for Structural monItoring (2010) (19)
- A Low Latency and Energy Efficient Communication Architecture for Heterogeneous Long-Short Range Communication (2016) (19)
- HePREM: Enabling predictable GPU execution on heterogeneous SoC (2018) (19)
- DRAM or no-DRAM? Exploring linear solver architectures for image domain warping in 28 nm CMOS (2015) (19)
- Low-Power Gas Sensing Using Single Walled Carbon Nano Tubes in Wearable Devices (2016) (19)
- Autotuning and adaptivity approach for energy efficient Exascale HPC systems: The ANTAREX approach (2016) (19)
- Curbing the roofline: a scalable and flexible architecture for CNNs on FPGA (2016) (19)
- Clamp-and-measure forever: A MOSFET-based circuit for energy harvesting and measurement targeted for power meters (2013) (19)
- Integrated task scheduling and data assignment for SDRAMs in dynamic applications (2004) (19)
- 3.8 A 0.45-to-0.7V 1-to-6Gb/S 0.29-to-0.58pJ/b source-synchronous transceiver using automatic phase calibration in 65nm CMOS (2015) (19)
- Node sampling: a robust RTL power modeling approach (1998) (18)
- A hardware/software framework for supporting transactional memory in a MPSoC environment (2007) (18)
- Exploring multi-banked shared-L1 program cache on ultra-low power, tightly coupled processor clusters (2015) (18)
- A context-aware smart seat (2011) (18)
- Prevention of Microarchitectural Covert Channels on an Open-Source 64-bit RISC-V Core (2020) (18)
- EBPC: Extended Bit-Plane Compression for Deep Neural Network Inference and Training Accelerators (2019) (18)
- Memory energy minimization by data compression: algorithms, architectures and implementation (2004) (18)
- Energy-efficient data scrambling on memory-processor interfaces (2003) (18)
- Efficient Sample Delay Calculation for 2-D and 3-D Ultrasound Imaging (2017) (18)
- Efficient, Long-Term Logging of Rich Data Sensors Using Transient Sensor Nodes (2017) (18)
- Architectural Exploration of MPSoC Designs Based on an FPGA Emulation Framework (2006) (18)
- On-Demand TDMA for Energy Efficient Data Collection with LoRa and Wake-up Receiver (2018) (18)
- Robustifying the Deployment of tinyML Models for Autonomous Mini-Vehicles (2020) (18)
- Trimming Feature Extraction and Inference for MCU-Based Edge NILM: A Systematic Approach (2021) (18)
- InfiniTime: A multi-sensor energy neutral wearable bracelet (2014) (18)
- Ultra-Low-Power Digital Architectures for the Internet of Things (2017) (18)
- Hybrid memory architecture for voltage scaling in ultra-low power multi-core biomedical processors (2014) (18)
- ADRENALINE: An OpenVX Environment to Optimize Embedded Vision Applications on Many-core Accelerators (2015) (18)
- SmarTEG: An Autonomous Wireless Sensor Node for High Accuracy Accelerometer-Based Monitoring (2019) (18)
- Analytical and Experimental Evaluation of Wake-Up Receivers Based Protocols (2016) (18)
- Simultaneous memory and bus partitioning for SoC architectures (2005) (18)
- Integrated Energy-Aware Management of Supercomputer Hybrid Cooling Systems (2016) (17)
- Automatic synthesis of near-threshold circuits with fine-grained performance tunability (2010) (17)
- Multi-modal Video Surveillance Aided by Pyroelectric Infrared Sensors (2008) (17)
- Enabling Design Methodologies and Future Trends for Edge AI: Specialization and Codesign (2021) (17)
- Hidden Markov Model based gesture recognition on low-cost, low-power Tangible User Interfaces (2009) (17)
- Synthesis of low-power selectively-clocked systems from high-level specification (1996) (17)
- The ANTAREX tool flow for monitoring and autotuning energy efficient HPC systems (2017) (17)
- Dynamic Voltage Scaling for Portable Systems (2007) (17)
- An 826 MOPS, 210uW/MHz Unum ALU in 65 nm (2017) (17)
- Temporal memoization for energy-efficient timing error recovery in GPGPUs (2014) (17)
- Synthesis of power-managed sequential components based oncomputational kernel extraction (2001) (17)
- A Cost-effective Indoor Vibrotactile Navigation System for the Blind (2011) (17)
- Multiple Biopotentials Acquisition System for Wearable Applications (2015) (17)
- Scalable EEG seizure detection on an ultra low power multi-core architecture (2016) (17)
- Work-in-Progress: Quantized NNs as the Definitive Solution for Inference on Low-Power ARM MCUs? (2018) (17)
- PULP-NN: A Computing Library for Quantized Neural Network inference at the edge on RISC-V Based Parallel Ultra Low Power Clusters (2019) (17)
- Design Automation of Embedded Systems (2003) (17)
- Introducing tangerine: a tangible interactive natural environment (2007) (17)
- Zeroing for HW-efficient compressed sensing architectures targeting data compression in wireless sensor networks (2017) (17)
- Energy Efficient Source Code Transformation based on Value Profiling (2000) (17)
- BYOC: A "Bring Your Own Core" Framework for Heterogeneous-ISA Research (2020) (17)
- Dynamic variability management in mobile multicore processors under lifetime constraints (2014) (17)
- A 2.2- $\mu$ W Cognitive Always-On Wake-Up Circuit for Event-Driven Duty-Cycling of IoT Sensor Nodes (2018) (16)
- A Constraint Based Approach to Cyclic RCPSP (2011) (16)
- Extended Bit-Plane Compression for Convolutional Neural Network Accelerators (2018) (16)
- An energy-efficient parallel algorithm for real-time near-optimal UAV path planning (2016) (16)
- Online thermal control methods for multiprocessor systems (2013) (16)
- Experimental evaluation of a sEMG-based human-robot interface for human-like grasping tasks (2015) (16)
- Fast power estimation for deterministic input streams (1997) (16)
- Prolonging the lifetime of wireless sensor networks using light-weight forecasting algorithms (2013) (16)
- Design and Evaluation of SmallFloat SIMD extensions to the RISC-V ISA (2019) (16)
- In-place power optimization for LUT-based FPGAs (1998) (16)
- SCC thermal model identification via advanced bias-compensated least-squares (2013) (16)
- A Mixed-Precision RISC-V Processor for Extreme-Edge DNN Inference (2020) (16)
- An Open-Source Platform for High-Performance Non-Coherent On-Chip Communication (2020) (16)
- Continuous Gesture Recognition for Resource Constrained Smart Objects (2010) (16)
- An accurate low-cost Crackmeter with LoRaWAN communication and energy harvesting capability (2018) (16)
- Serialized Asynchronous Links for NoC (2008) (16)
- Automatic source code specialization for energy reduction (2001) (16)
- A Self-Aware Architecture for PVT Compensation and Power Nap in Near Threshold Processors (2017) (16)
- GPU Acceleration for Simulating Massively Parallel Many-Core Platforms (2015) (16)
- Tackling the bottleneck of delay tables in 3D ultrasound imaging (2015) (16)
- Self-Sustainable Smart Ring for Long-Term Monitoring of Blood Oxygenation (2019) (16)
- A −1.8V to 0.9V body bias, 60 GOPS/W 4-core cluster in low-power 28nm UTBB FD-SOI technology (2015) (16)
- Procedure hopping: a low overhead solution to mitigate variability in shared-L1 processor clusters (2012) (16)
- A tightly-coupled multi-core cluster with shared-memory HW accelerators (2012) (16)
- A Constraint Programming Approach for Allocation and Scheduling on the CELL Broadband Engine (2008) (16)
- Interface Layering Phenomena in Capacitance Detection of DNA with Biochips (2007) (16)
- Ekho: A 30.3W, 10k-Channel Fully Digital Integrated 3-D Beamformer for Medical Ultrasound Imaging Achieving 298M Focal Points per Second (2016) (16)
- A Synchronization-Based Hybrid-Memory Multi-Core Architecture for Energy-Efficient Biomedical Signal Processing (2017) (15)
- Optimum: Thermal-aware task allocation for heterogeneous many-core devices (2014) (15)
- A kinetic energy harvester with fast start-up for wearable body-monitoring sensors (2010) (15)
- Hyperdrive: A Multi-Chip Systolically Scalable Binary-Weight CNN Inference Engine (2018) (15)
- Thermal-aware system-level modeling and management for Multi-Processor Systems-on-Chip (2011) (15)
- Design space exploration of a mesochronous link for cost-effective and flexible GALS NOCs (2010) (15)
- μDMA: An autonomous I/O subsystem for IoT end-nodes (2017) (15)
- Multiple power-gating domain (multi-VGND) architecture for improved leakage power reduction (2008) (15)
- Integrating event-based dynamic vision sensors with sparse hyperdimensional computing: a low-power accelerator with online learning capability (2020) (15)
- Network-accelerated non-contiguous memory transfers (2019) (15)
- Minimizing memory access energy in embedded systems by selective instruction compression (2002) (15)
- Design Automation for Binarized Neural Networks: A Quantum Leap Opportunity? (2017) (15)
- OpenMP-based Synergistic Parallelization and HW Acceleration for On-Chip Shared-Memory Clusters (2012) (15)
- Variability-tolerant workload allocation for MPSoC energy minimization under real-time constraints (2009) (15)
- Sizing and characterization of leakage-control cells for layout-aware distributed power-gating (2004) (15)
- Synthesis-friendly techniques for tightly-coupled integration of hardware accelerators into shared-memory multi-core clusters (2013) (15)
- Reconfigurable natural interaction in smart environments: approach and prototype implementation (2012) (15)
- Optimizing Temporal Convolutional Network Inference on FPGA-Based Accelerators (2020) (15)
- Peak Shift Measurement of Localized Surface Plasmon Resonance by a Portable Electronic System (2013) (15)
- A TinyML Platform for On-Device Continual Learning With Quantized Latent Replays (2021) (15)
- Optimized active and power-down mode refresh control in 3D-DRAMs (2014) (15)
- Target following on nano-scale Unmanned Aerial Vehicles (2017) (14)
- A 5 μW Standard Cell Memory-Based Configurable Hyperdimensional Computing Accelerator for Always-on Smart Sensing (2021) (14)
- Adaptive TDMA bus allocation and elastic scheduling: A unified approach for enhancing robustness in multi-core RT systems (2010) (14)
- Computer-Aided Evaluation of Protein Expression in Pathological Tissue Images (2006) (14)
- Precise, Energy-Efficient Data Acquisition Architecture for Monitoring Radioactivity Using Self-Sustainable Wireless Sensor Nodes (2018) (14)
- Validation of a wireless portable biofeedback system for balance control: Preliminary results (2008) (14)
- Temperature compensated time synchronisation in wireless sensor networks (2012) (14)
- Wearable assistant for load monitoring: recognition of on—body load placement from gait alterations (2010) (14)
- Rakeness-based compressed sensing on ultra-low power multi-core biomedicai processors (2014) (14)
- A novel architecture for power maskable arithmetic units (2003) (14)
- Stream Semantic Registers: A Lightweight RISC-V ISA Extension Achieving Full Compute Utilization in Single-Issue Cores (2019) (14)
- Modal Analysis of Structures with Low-cost Embedded Systems (2018) (14)
- Optimizing memory bandwidth exploitation for OpenVX applications on embedded many-core accelerators (2018) (14)
- Optimal MTCMOS Reactivation Under Power Supply Noise and Performance Constraints (2008) (14)
- Lightweight virtual memory support for many-core accelerators in heterogeneous embedded SoCs (2015) (14)
- Ultrasound as a Tool to Study Muscle–Tendon Functions during Locomotion: A Systematic Review of Applications (2019) (14)
- BinaryEye: A 20 kfps Streaming Camera System on FPGA with Real-Time On-Device Image Recognition Using Binary Neural Networks (2018) (14)
- Task scheduling strategies to mitigate hardware variability in embedded shared memory clusters (2015) (14)
- TRANSPIRE: An energy-efficient TRANSprecision floating-point Programmable archItectuRE (2020) (14)
- Pible: battery-free mote for perpetual indoor BLE applications: demo abstract (2018) (14)
- A 2.4 GHz-868 MHz dual-band wake-up radio for wireless sensor network and IoT (2015) (14)
- Architecture Support for Tightly-Coupled Multi-Core Clusters with Shared-Memory HW Accelerators (2015) (14)
- Optimizing ZigBee for data streaming in body-area bio-feedback applications (2009) (14)
- Deep structured features for semantic segmentation (2016) (14)
- Low-cost micro-thermal response test system for characterizing very shallow geothermal energy (2016) (14)
- StoneNode: A low-power sensor device for induced rockfall experiments (2017) (14)
- Always-On 674μ W@4GOP/s Error Resilient Binary Neural Networks With Aggressive SRAM Voltage Scaling on a 22-nm IoT End-Node (2020) (13)
- Value-sensitive automatic code specialization for embedded software (2002) (13)
- Networks on Chips: 15 Years Later (2017) (13)
- A heterogeneous multi-core system-on-chip for energy efficient brain inspired vision (2016) (13)
- Low-power system-on-chip architecture for wireless LANs (2004) (13)
- Energy-Aware Bio-signal Compressed Sensing Reconstruction: FOCUSS on the WBSN-Gateway (2015) (13)
- Self-Sustainability in Nano Unmanned Aerial Vehicles: A Blimp Case Study (2017) (13)
- Reliability-Aware Design for Nanometer-Scale Devices, January 2008 (2008) (13)
- HERO: an open-source research platform for HW/SW exploration of heterogeneous manycore systems (2018) (13)
- The relevance of rock shape over mass—implications for rockfall hazard assessments (2021) (13)
- Efficient mapping of CDFG onto coarse-grained reconfigurable array architectures (2017) (13)
- Lightweight barrier-based parallelization support for non-cache-coherent MPSoC platforms (2007) (13)
- FPGA Implementation of a Kalman-Based Motion Estimator for Levitated Nanoparticles (2019) (13)
- Arnold: An eFPGA-Augmented RISC-V SoC for Flexible and Low-Power IoT End Nodes (2020) (13)
- A novel approach to cost-effective estimate of power dissipation in CMOS ICs (1993) (13)
- A Framework for Modeling and Estimating the Energy Dissipation of VLIW-Based Embedded Systems (2002) (13)
- An Energy-Efficient IoT node for HMI applications based on an ultra-low power Multicore Processor (2019) (13)
- Exploring Shared Virtual Memory for FPGA Accelerators with a Configurable IOMMU (2019) (13)
- Q-EEGNet: an Energy-Efficient 8-bit Quantized Parallel EEGNet Implementation for Edge Motor-Imagery Brain-Machine Interfaces (2020) (13)
- Poster Abstract: MagoNode++ - A Wake-Up-Radio-Enabled Wireless Sensor Mote for Energy-Neutral Applications (2016) (13)
- Scalable instruction set simulator for thousand-core architectures running on GPGPUs (2010) (13)
- Ambient intelligence: a computational platform perspective (2003) (13)
- A multiprocessor system-on-chip for real-time biomedical monitoring and analysis: ECG prototype architectural design space exploration (2008) (13)
- Efficient parallel beamforming for 3D ultrasound imaging (2014) (13)
- MemPool: A Shared-L1 Memory Many-Core Cluster with a Low-Latency Interconnect (2020) (12)
- Torpor: A Power-Aware HW Scheduler for Energy Harvesting IoT SoCs (2018) (12)
- Designing and managing sub-milliwatt energy harvesting nodes: Opportunities and challenges (2009) (12)
- Effectiveness of adaptive supply voltage and body bias as post-silicon variability compensation techniques for full-swing and low-swing on-chip communication channels (2009) (12)
- A neuro-vector-symbolic architecture for solving Raven’s progressive matrices (2022) (12)
- Wearable, energy-opportunistic vision sensing for walking speed estimation (2017) (12)
- Improving the Fault Tolerance of Nanometric PLA Designs (2007) (12)
- From architecture to layout: partitioned memory synthesis for embedded systems-on-chip (2001) (12)
- Smart Power Unit—mW-to-nW Power Management and Control for Self-Sustainable IoT Devices (2021) (12)
- Full system simulation of many-core heterogeneous SoCs using GPU and QEMU semihosting (2012) (12)
- Extending the Lifetime of Nano-Blimps via Dynamic Motor Control (2019) (12)
- Computational kernels and their application to sequential power optimization (1998) (12)
- Don't burn your mobile!: safe computational re-sprinting via model predictive control (2012) (12)
- CUTIE: Beyond PetaOp/s/W Ternary DNN Inference Acceleration With Better-Than-Binary Energy Efficiency (2020) (12)
- Low-power multichannel spectro-temporal feature extraction circuit for audio pattern wake-up (2016) (12)
- Additive Noise Annealing and Approximation Properties of Quantized Neural Networks (2019) (12)
- A Logic-base Interconnect for Supporting Near Memory Computation in the Hybrid Memory Cube (2014) (12)
- LoRa vs. LoRa: In-Field Evaluation and Comparison For Long-Lifetime Sensor Nodes (2019) (12)
- A 0.80pJ/flop, 1.24Tflop/sW 8-to-64 bit Transprecision Floating-Point Unit for a 64 bit RISC-V Processor in 22nm FD-SOI (2019) (12)
- Maximum-throughput mapping of SDFGs on multi-core SoC platforms (2013) (12)
- Reducing the Abstraction and Optimality Gaps in the Allocation and Scheduling for Variable Voltage/Frequency MPSoC Platforms (2009) (12)
- Self-powered wireless sensor nodes for monitoring radioactivity in contaminated areas using unmanned aerial vehicles (2015) (12)
- The Quest for Energy-Efficient I$ Design in Ultra-Low-Power Clustered Many-Cores (2018) (12)
- A High-Performance Wireless Sensor Node for Industrial Control Applications (2008) (12)
- Energy proportionality in near-threshold computing servers and cloud data centers: Consolidating or Not? (2018) (12)
- 3D NoCs — Unifying inter & intra chip communication (2010) (12)
- Optimizing memory bandwidth in OpenVX graph execution on embedded many-core accelerators (2014) (12)
- Glitch power minimization by gate freezing (1999) (12)
- QUENN: QUantization engine for low-power neural networks (2018) (12)
- Improving Resilience to Timing Errors by Exposing Variability Effects to Software in Tightly-Coupled Processor Clusters (2014) (11)
- A dependability-driven system-level design approach for embedded systems (2005) (11)
- State-of-the-Art SoC Communication Architectures (2005) (11)
- Finite-state machine partitioning for low power (1998) (11)
- Work-in-Progress: DORY: Lightweight Memory Hierarchy Management for Deep NN Inference on IoT Endnodes (2019) (11)
- 0, 1, 2, many — A classroom occupancy monitoring system for smart public buildings (2014) (11)
- Synthesis of low-power selectively-clocked systems from high-level specification (2000) (11)
- Enhanced pClustering and its applications to gene expression data (2004) (11)
- LightProbe: A Digital Ultrasound Probe for Software-Defined Ultrafast Imaging (2019) (11)
- Interactive presentation: Efficient computation of discharge current upper bounds for clustered slee (2007) (11)
- Optimal Tiling Strategy for Memory Bandwidth Reduction for CNNs (2017) (11)
- Network Interface Architecture and Design Issues (2006) (11)
- ALOHA: an architectural-aware framework for deep learning at the edge (2018) (11)
- A dual-band wake-up radio for ultra-low power Wireless Sensor Networks (2016) (11)
- GPU-SHOT: Parallel Optimization for Real-Time 3D Local Description (2013) (11)
- A Minimally Invasive Low-Power Platform for Real-Time Brain Computer Interaction Based on Canonical Correlation Analysis (2019) (11)
- Energy-Reliability trade-Off for NoCs (2003) (11)
- Code compression architecture for cache energy minimisation in embedded systems (2002) (11)
- An approximate computing technique for reducing the complexity of a direct-solver for sparse linear systems in real-time video processing (2014) (11)
- Always-ON visual node with a hardware-software event-based binarized neural network inference engine (2018) (11)
- An Extended Shared Logarithmic Unit for Nonlinear Function Kernel Acceleration in a 65-nm CMOS Multicore Cluster (2017) (11)
- Leveraging Automated Mixed-Low-Precision Quantization for Tiny Edge Microcontrollers (2020) (11)
- Lightweight Virtual Memory Support for Zero-Copy Sharing of Pointer-Rich Data Structures in Heterogeneous Embedded SoCs (2017) (11)
- DiG: enabling out-of-band scalable high-resolution monitoring for data-center analytics, automation and control (extended) (2018) (11)
- SNW-MAC: An Asynchronous Protocol Leveraging Wake-Up Receivers for Data Gathering in Star Networks (2016) (11)
- Smart Energy-Efficient Clock Synthesizer for Duty-Cycled Sensor SoCs in 65 nm/28nm CMOS (2017) (11)
- Embedded Streaming Principal Components Analysis for Network Load Reduction in Structural Health Monitoring (2021) (11)
- ChewBaccaNN: A Flexible 223 TOPS/W BNN Accelerator (2020) (11)
- Efficient Virtual Memory Sharing via On-Accelerator Page Table Walking in Heterogeneous Embedded SoCs (2017) (11)
- A RISC-V Based Open Hardware Platform for Always-On Wearable Smart Sensing (2019) (11)
- InfiniWolf: Energy Efficient Smart Bracelet for Edge Computing with Dual Source Energy Harvesting (2020) (11)
- Reducing energy consumption in microcontroller-based platforms with low design margin co-processors (2015) (11)
- Evaluation of synchronization protocols for fine-grain HPC sensor data time-stamping and collection (2016) (11)
- Robust non-preemptive hard real-time scheduling for clustered multicore platforms (2009) (11)
- COUNTDOWN: a run-time library for application-agnostic energy saving in MPI communication primitives (2018) (11)
- An integrated, programming model-driven framework for NoC-QoS support in cluster-based embedded many-cores (2013) (11)
- A Cooperative, Accurate Solving Framework for Optimal Allocation, Scheduling and Frequency Selection on Energy-Efficient MPSoCs (2006) (11)
- pAElla: Edge AI-Based Real-Time Malware Detection in Data Centers (2020) (11)
- A resilient architecture for low latency communication in shared-L1 processor clusters (2012) (11)
- A 142MOPS/mW integrated programmable array accelerator for smart visual processing (2017) (11)
- ECG-TCN: Wearable Cardiac Arrhythmia Detection with a Temporal Convolutional Network (2021) (11)
- Scalable and Efficient Virtual Memory Sharing in Heterogeneous SoCs with TLB Prefetching and MMU-Aware DMA Engine (2018) (11)
- Communication-aware stochastic allocation and scheduling framework for conditional task graphs in multi-processor systems-on-chip (2007) (11)
- Q-PPG: Energy-Efficient PPG-Based Heart Rate Monitoring on Wearable Devices (2021) (10)
- Optimization and Controlled Systems: A Case Study on Thermal Aware Workload Dispatching (2012) (10)
- A Simulation Model for Streaming Applications over a Power Manageable Wireless Link (2003) (10)
- Ultra low-power visual odometry for nano-scale unmanned aerial vehicles (2017) (10)
- On quantifying the figures of merit of power-gating for leakage power minimization in nanometer CMOS circuits (2008) (10)
- Power macromodeling of MPSoC message passing primitives (2007) (10)
- Exploring “temperature-aware” design in low-power MPSoCs (2007) (10)
- EmbedUWB: Low Power Embedded High-Precision and Low Latency UWB Localization (2019) (10)
- The ANTAREX Domain Specific Language for High Performance Computing (2019) (10)
- Efficient Pipelined Execution of CNNs Based on In-Memory Computing and Graph Homomorphism Verification (2021) (10)
- Quantifying the impact of frequency scaling on the energy efficiency of the single-chip cloud computer (2012) (10)
- Networks on Chips: A Synthesis Perspective (2005) (10)
- Autotuning and adaptivity in energy efficient HPC systems: the ANTAREX toolbox (2018) (10)
- Towards Internet of Things for event-driven low-power gas sensing using carbon nanotubes (2015) (10)
- CROSS cyclic resource-constrained scheduling solver (2014) (10)
- Combined methods to extend the lifetime of power hungry WSN with multimodal sensors and nanopower wakeups (2012) (10)
- Constrained deep neural network architecture search for IoT devices accounting hardware calibration (2019) (10)
- Performance analysis and design space exploration for high-end biomedical applications: Challenges and solutions (2007) (10)
- Pricing schemes for energy-efficient HPC systems: Design and exploration (2018) (10)
- A multi-banked shared-l1 cache architecture for tightly coupled processor clusters (2012) (10)
- LightProbe: A 64-channel programmable ultrasound transducer head with an integrated front-end and a 26.4 Gb/s optical link (2017) (10)
- Regression-based RTL power models for controllers (2000) (10)
- Gate-level current waveform simulation of CMOS integrated circuits (1996) (10)
- Enabling Fine-Grain Leakage Management by Voltage Anchor Insertion (2006) (10)
- Design and validation of an attitude and heading reference system for an aerial robot prototype (2012) (10)
- A scan-chain based state retention methodology for IoT processors operating on intermittent energy (2017) (10)
- A multi-sensor and parallel processing SoC for wearable and implantable telemetry systems (2017) (10)
- Autonomous smartwatch with flexible sensors for accurate and continuous mapping of skin temperature (2016) (10)
- Paving the Way Toward Energy-Aware and Automated Datacentre (2019) (10)
- The Data-Link Layer in NoC Design (2006) (9)
- Energy and power awareness in hardware schedulers for energy harvesting IoT SoCs (2019) (9)
- Control and datapath decoupling in the design of a NoC switch: area, power and performance implications (2007) (9)
- Design of a collective communication infrastructure for barrier synchronization in cluster-based nanoscale MPSoCs (2012) (9)
- A multi banked — Multi ported — Non blocking shared L2 cache for MPSoC platforms (2014) (9)
- A 0.45–0.7 V 1–6 Gb/s 0.29–0.58 pJ/b Source-Synchronous Transceiver Using Near-Threshold Operation (2018) (9)
- HR-SAR-Net: A Deep Neural Network for Urban Scene Segmentation from High-Resolution SAR Data (2019) (9)
- Benefits of Dynamically Reconfigurable Activity Recognition in Distributed Sensing Environments (2011) (9)
- A new physical routing approach for robust bundled signaling on NoC links (2010) (9)
- SHelmet: An Intelligent Self-sustaining Multi Sensors Smart Helmet for Bikers (2016) (9)
- A floorplan-aware interactive tool flow for NoC design and synthesis (2009) (9)
- XpulpNN: Enabling Energy Efficient and Flexible Inference of Quantized Neural Networks on RISC-V Based IoT End Nodes (2020) (9)
- Cooling-aware node-level task allocation for next-generation green HPC systems (2016) (9)
- MPOpt-Cell: a high-performance data-flow programming environment for the CELL BE processor (2011) (9)
- A case for three-dimensional stacking of tightly coupled data memories over multi-core clusters using low-latency interconnects (2013) (9)
- Poster Abstract: KinetiSee - A Perpetual Wearable Camera Acquisition System with a Kinetic Harvester (2016) (9)
- Opportunistic hierarchical classification for power optimization in wearable movement monitoring systems (2012) (9)
- Efficient switching activity computation during high-level synthesis of control-dominated designs (1999) (9)
- Learning to infer: RL-based search for DNN primitive selection on Heterogeneous Embedded Systems (2018) (9)
- Towards Edge-Aware Spatio-Temporal Filtering in Real-Time (2018) (9)
- Exploring programming model-driven QoS support for NoC-based platforms (2010) (9)
- A Simulation Based Buffer Sizing Algorithm for Network on Chips (2011) (9)
- Scenario-based SDRAM-Energy-Aware Scheduling for Dynamic Multi-Media Applications on Multi-Processor Platforms. (2002) (9)
- Nanowatt Wake-Up Radios: Discrete-Components and Integrated Architectures (2018) (9)
- Iterative remapping for logic circuits (1998) (9)
- A power-aware multi harvester power unit with hydrogen fuel cell for embedded systems in outdoor applications (2013) (9)
- Robust and Energy-Efficient PPG-Based Heart-Rate Monitoring (2021) (9)
- Experimental Evaluation on NB-IoT and LoRaWAN for Industrial and IoT Applications (2019) (9)
- Evaluation of NTP/PTP fine-grain synchronization performance in HPC clusters (2018) (9)
- An efficient on-line task allocation algorithm for QoS and energy efficiency in multicore multimedia platforms (2011) (9)
- Capturing topology-level implications of link synthesis techniques for nanoscale networks-on-chip (2009) (9)
- A machine learning approach for automated wide-range frequency tagging analysis in embedded neuromonitoring systems. (2017) (9)
- Ultra-low power sensor for autonomous non-invasive voltage measurement in IoT solutions for energy efficiency (2015) (8)
- 5 Parallel Prism: A topology for pipelined implementations of convolutional neural networks using computational memory (2019) (8)
- Energy Efficient Cooperative Multimodal Ambient Monitoring (2010) (8)
- MP-Queue: an Efficient Communication Library for Embedded Streaming Multimedia Platforms (2007) (8)
- Optimal sleep transistor synthesis under timing and area constraints (2008) (8)
- Cellflow: A Parallel Application Development Environment with Run-Time Support for the Cell BE Processor (2008) (8)
- New probe immobilizations by lipoate-diethalonamines or ethylene-glycol molecules for capacitance DNA chip (2009) (8)
- Using Palmtop Computers and Immersive Virtual Reality for Cooperative archaeological analysis: the Appian Way case study (2002) (8)
- RF-Powered Low-Energy Sensor Nodes for Predictive Maintenance in Electromagnetically Harsh Industrial Environments (2021) (8)
- HePREM: A Predictable Execution Model for GPU-based Heterogeneous SoCs (2021) (8)
- A highly efficient, thread-safe software cache implementation for tightly-coupled multicore clusters (2013) (8)
- A Flexible, Low-Power Platform for UAV-Based Data Collection From Remote Sensors (2020) (8)
- System lifetime extension by battery management: an experimental work (2002) (8)
- An Energy-Efficient Integrated Programmable Array Accelerator and Compilation Flow for Near-Sensor Ultralow Power Processing (2019) (8)
- RTK-LoRa: High-Precision, Long-Range and Energy-Efficient Localization for Mobile IoT devices (2020) (8)
- Robust Near-Threshold Design With Fine-Grained Performance Tunability (2012) (8)
- Capturing the interaction of the communication, memory and I/O subsystems in memory-centric industrial MPSoC platforms (2007) (8)
- Automatic intrinsic DNA curvature computation from AFM images (2005) (8)
- Towards Long-term Non-invasive Monitoring for Epilepsy via Wearable EEG Devices (2021) (8)
- Optimization and deployment of CNNs at the edge: the ALOHA experience (2019) (8)
- GVSoC: A Highly Configurable, Fast and Accurate Full-Platform Simulator for RISC-V based IoT Processors (2021) (8)
- Hardware/software IP protection (2000) (8)
- Static Thermal Model Learning for High-Performance Multicore Servers (2011) (8)
- Speculative synchronization for coherence-free embedded NUMA architectures (2014) (8)
- A RISC-V in-network accelerator for flexible high-performance low-power packet processing (2021) (8)
- Exploring Embedding Methods in Binary Hyperdimensional Computing: A Case Study for Motor-Imagery based Brain-Computer Interfaces (2018) (8)
- Low Power Embedded Gesture Recognition Using Novel Short-Range Radar Sensors (2019) (8)
- Hardware/Software Architecture for Real-Time ECG Monitoring and Analysis Leveraging MPSoC Technology (2007) (8)
- Bus Access Design for Combined Worst and Average Case Execution Time Optimization of Predictable Real-Time Applications on Multiprocessor Systems-on-Chip (2011) (8)
- A Broadband Multi-Mode Compressive Sensing Current Sensor SoC in 0.16 $\mu$ m CMOS (2019) (8)
- Embedding principal component analysis for data reduction in structural health monitoring on low-cost IoT gateways (2019) (8)
- Reducing Conflict Misses by Application-Specific Reconfigurable Indexing (2006) (8)
- MagoNode++: a wake-up-radio-enabled wireless sensor mote for energy-neutral applications: poster abstract (2016) (8)
- PPP: A Gate-Level Power Simulator - A World Wide Web Application (1996) (8)
- Compressing Subject-specific Brain-Computer Interface Models into One Model by Superposition in Hyperdimensional Space (2020) (8)
- Assessing the area/power/performance tradeoffs for an integrated fully-digital, large-scale 3D-ultrasound beamformer (2014) (8)
- Energy-Positive Activity Recognition - From Kinetic Energy Harvesting to Smart Self-Sustainable Wearable Devices (2021) (8)
- Thermal Analysis and Interpolation Techniques for a Logic + WideIO Stacked DRAM Test Chip (2016) (8)
- SIR10US: A tightly coupled elliptic-curve cryptography co-processor for the OpenRISC (2014) (8)
- A Reactive and Cycle-True IP Emulator for MPSoC Exploration (2008) (8)
- Comparison of a Timing-Error Tolerant Scheme with a Traditional Re-transmission Mechanism for Networks on Chips (2006) (8)
- Hyperdimensional Computing with Local Binary Patterns: One-shot Learning for Seizure Onset Detection and Identification of Ictogenic Brain Regions from Short-time iEEG Recordings (2019) (8)
- Enhancing Structural Health Monitoring with Vehicle Identification and Tracking (2020) (7)
- Capacitance DNA bio-chips improved by new probe immobilization strategies (2010) (7)
- PULPino: A RISC-V based single-core system (2015) (7)
- Sub-mW multi-Gbps chip-to-chip communication Links for Ultra-Low Power IoT end-nodes (2018) (7)
- Analysis of Contraction Effort Level in EMG-Based Gesture Recognition Using Hyperdimensional Computing (2019) (7)
- Poster Abstract: Wake-Up Receivers for Energy Efficient and Low Latency Communication (2016) (7)
- CIRCA-GPUs: Increasing Instruction Reuse Through Inexact Computing in GP-GPUs (2016) (7)
- Energy Efficient In-Memory Hyperdimensional Encoding for Spatio-Temporal Signal Processing (2021) (7)
- A contactless three-phase autonomous power meter (2016) (7)
- Leakage Bounds for Gaussian Side Channels (2017) (7)
- PALMTOP COMPUTERS FOR MANAGING INTERACTION WITH IMMERSIVE VIRTUAL HERITAGE (2010) (7)
- Lightweight IO virtualization on MPU enabled microcontrollers (2018) (7)
- Re-mapping for low power under tight timing constraints (1997) (7)
- Efficient energy management and data recovery in sensor networks using latent variables based tensor factorization (2013) (7)
- A Feature Reduction Strategy For Enabling Lightweight Non-Intrusive Load Monitoring On Edge Devices (2020) (7)
- Automated Design Space Exploration for Optimized Deployment of DNN on Arm Cortex-A CPUs (2020) (7)
- Message Passing-Aware Power Management on Many-Core Systems (2014) (7)
- Synchronous Reactive Fine Grain Tasks Management for Homogeneous Many-Core Architectures (2011) (7)
- 3D Network on Chip Topology Synthesis: Designing Custom Topologies for Chip Stacks (2011) (7)
- Context Change Detection for an Ultra-Low Power Low-Resolution Ego-Vision Imager (2016) (7)
- A Heterogeneous In-Memory Computing Cluster for Flexible End-to-End Inference of Real-World Deep Neural Networks (2022) (7)
- Network-on-Chip Design for Gigascale Systems-on-Chip (2005) (7)
- Parametric timing and power macromodels for high level simulation of low-swing interconnects (2002) (7)
- A portable hybrid hydrogen fuel cell-battery power unit for wireless sensor network (2014) (7)
- Report for the NSF Workshop on Cross ‐ layer Power Optimization and Management (2012) (7)
- A simulation-based power-aware architecture exploration of a multiprocessor system-on-chip design (2004) (7)
- Sensormind: Virtual Sensing and Complex Event Detection for Internet of Things (2016) (7)
- An Open-Source Verification Framework for Open-Source Cores: A RISC-V Case Study (2018) (7)
- Synergistic HW/SW Approximation Techniques for Ultralow-Power Parallel Computing (2018) (7)
- Benefits in Relaxing the Power Capping Constraint (2017) (7)
- Hybrid ASIC/FPGA System for Fully Automatic Stereo-to-Multiview Conversion Using IDW (2016) (7)
- FANNCortexM: An Open Source Toolkit for Deployment of Multi-layer Neural Networks on ARM Cortex-M Family Microcontrollers : Performance Analysis with Stress Detection (2019) (7)
- Statistical Power Estimation of Behavioral Descriptions (2003) (7)
- RPR: Random Partition Relaxation for Training; Binary and Ternary Weight Neural Networks (2020) (7)
- KRATOS: An Open Source Hardware-Software Platform for Rapid Research in LPWANs (2018) (7)
- A multi-protocol system for configurable data streaming on IoT healthcare devices (2019) (7)
- Runtime Support for Multiple Offload-Based Programming Models on Clustered Manycore Accelerators (2018) (7)
- Combining LoRa and RTK to achieve a high precision self-sustaining geo-localization system: poster abstract (2018) (7)
- Always-on motion detection with application-level error control on a near-threshold approximate computing platform (2016) (7)
- COUNTDOWN - three, two, one, low power! A Run-time Library for Energy Saving in MPI Communication Primitives (2018) (7)
- Combining microbial fuel cell and ultra-low power event-driven audio detector for zero-power sensing in underwater monitoring (2018) (7)
- Taming Data Caches for Predictable Execution on GPU-based SoCs (2019) (7)
- Image enhancement variational methods for enabling strong cost reduction in OLED‐based point‐of‐care immunofluorescent diagnostic systems (2018) (7)
- A LoRaWAN Wireless Sensor Network for Data Center Temperature Monitoring (2018) (7)
- An On-line Reliability Emulation Framework (2014) (7)
- Combining learning and optimization for transprecision computing (2020) (7)
- Quantifying the benefits of compressed sensing on a WBSN-based real-time biosignal monitor (2016) (7)
- High-efficiency logarithmic number unit design based on an improved cotransformation scheme (2016) (7)
- Power saving policies for multipurpose WBAN (2013) (7)
- Finding Co-Clusters of Genes and Clinical Parameters (2005) (7)
- From Variability Tolerance to Approximate Computing in Parallel Integrated Architectures and Accelerators (2017) (7)
- Cancer marker detection in human serum with a point-of-care low-cost system (2010) (7)
- An Evaluation of Memory Sharing Performance for Heterogeneous Embedded SoCs with Many-Core Accelerators (2015) (7)
- NEURAghe (2018) (7)
- ANTAREX: A DSL-Based Approach to Adaptively Optimizing and Enforcing Extra-Functional Properties in High Performance Computing (2018) (7)
- STV-Cache: a leakage energy-efficient architecture for data caches (2006) (7)
- Energy-Efficient Hardware-Accelerated Synchronization for Shared-L1-Memory Multiprocessor Clusters (2020) (7)
- Embedded Classification of Local Field Potentials Recorded from Rat Barrel Cortex with Implanted Multi-Electrode Array (2018) (7)
- SWIFTNET: A data acquisition protocol for fast-reactive monitoring applications (2013) (7)
- A System Level Approach to Multi-core Thermal Sensors Calibration (2011) (7)
- ANTAREX -- AutoTuning and Adaptivity appRoach for Energy Efficient eXascale HPC Systems (2015) (7)
- Synchronization methods for Bluetooth based WBANs (2013) (7)
- A Case for Near Memory Computation Inside the Smart Memory Cube (2016) (7)
- Aging-Aware Compilation for GP-GPUs (2015) (7)
- Accelerating Inference of Convolutional Neural Networks Using In-memory Computing (2021) (6)
- Impact of temporal subsampling on accuracy and performance in practical video classification (2017) (6)
- Enabling OpenVX support in mW-scale parallel accelerators (2016) (6)
- Design of Networks on Chips for 3D ICs (2010) (6)
- Bioformers: Embedding Transformers for Ultra-Low Power sEMG-based Gesture Recognition (2022) (6)
- A Microcontroller is All You Need: Enabling Transformer Execution on Low-Power IoT Endnodes (2021) (6)
- A Bluetooth-Low-Energy Sensor Node for Acoustic Monitoring of Small Birds (2020) (6)
- An SRAM-Based Multibit In-Memory Matrix-Vector Multiplier With a Precision That Scales Linearly in Area, Time, and Power (2021) (6)
- Kernel-based power optimization of RTL components: exact and approximate extraction algorithms (1999) (6)
- LightSpeed: A Compact, High-Speed Optical-Link-Based 3D Optoacoustic Imager (2021) (6)
- Reducing Interconnect Cost in NoC through Serialized Asynchronous Links (2007) (6)
- Long-term monitoring of small-sized birds using a miniaturized bluetooth-low-energy sensor node (2017) (6)
- Prediction horizon vs. efficiency of optimal dynamic thermal control policies in HPC nodes (2017) (6)
- The Floating Point Trinity: A Multi-modal Approach to Extreme Energy-Efficiency and Performance (2019) (6)
- Automated DNA sizing in atomic force microscope images (2002) (6)
- Countdown Slack: A Run-Time Library to Reduce Energy Footprint in Large-Scale MPI Applications (2019) (6)
- UltraLight: An ultrafast imaging platform based on a digital 64-channel ultrasound probe (2017) (6)
- WindNode: A Long-Lasting And Long-Range Bluetooth Wireless Sensor Node for Pressure and Acoustic Monitoring on Wind Turbines (2021) (6)
- Rat Cortical Layers Classification extracting Evoked Local Field Potential Images with Implanted Multi-Electrode Sensor (2018) (6)
- A PULP-based Parallel Power Controller for Future Exascale Systems (2019) (6)
- Non-invasive voltage measurement in a three-phase autonomous meter (2016) (6)
- On-line Testing for Autonomous Systems driven by RISC-V Processor Design Verification (2019) (6)
- Evolvable Hyperdimensional Computing: Unsupervised Regeneration of Associative Memory to Recover Faulty Components (2020) (6)
- SmartHand: Towards Embedded Smart Hands for Prosthetic and Robotic Applications (2021) (6)
- Automatic selection of instruction op-codes of low-power core processors (1999) (6)
- Merging RFID, visual and gesture recognition technologies to generate and manage smart environments (2011) (6)
- Hardware-software design of a smart sensor for fully-electronic DNA hybridization detection (2005) (6)
- Mobile ultrasound imaging on heterogeneous multi-core platforms (2016) (6)
- A high-throughput and low-latency interconnection network for multi-core Clusters with 3-D stacked L2 tightly-coupled data memory (2012) (6)
- A Modular Shared L2 Memory Design for 3-D Integration (2015) (6)
- EdgeEye: A Long-Range Energy-Efficient Vision Node For Long-Term Edge Computing (2019) (6)
- DORY: Lightweight memory hierarchy management for deep NN inference on IoT endnodes: work-in-progress (2019) (6)
- Vertical stealing: robust, locality-aware do-all workload distribution for 3D MPSoCs (2010) (6)
- Precedence Constraint Posting for Cyclic Scheduling Problems (2011) (6)
- Digitally controlled feedback for DC offset cancellation in a wearable multichannel EMG platform (2015) (6)
- Towards a Novel HMI Paradigm Based on Mixed EEG and Indoor Localization Platforms (2017) (6)
- Block-enabled memory macros: design space exploration and application-specific tuning (2004) (6)
- Memory-Latency-Accuracy Trade-Offs for Continual Learning on a RISC-V Extreme-Edge Node (2020) (6)
- COUNTDOWN: A Run-Time Library for Performance-Neutral Energy Saving in MPI Applications (2018) (6)
- Supporting system-level power exploration for DSP applications (2000) (6)
- Energy-Efficient, Precise UWB-Based 3-D Localization of Sensor Nodes With a Nano-UAV (2023) (6)
- An Energy Neutral Wearable Camera with EPD Display (2015) (6)
- A high-throughput and low-latency interconnection network for multi-core Clusters with 3-D stacked L2 tightly-coupled data memory (2012) (6)
- Playing with Fire: Transactional Memory Revisited for Error-Resilient and Energy-Efficient MPSoC Execution (2015) (6)
- A DRAM Centric NoC Architecture and Topology Design Approach (2011) (6)
- Compilation and Simulation Tool Chain for Memory Aware Energy Optimizations (2006) (6)
- Chapter 1 – Networks on Chip (2006) (6)
- Global Cyclic Cumulative Constraint (2012) (6)
- Trade-offs of Forecasting Algorithm for Extending WSN Lifetime in a Real-World Deployment (2013) (6)
- A Buffer-Sizing Algorithm for Network-on-Chips with Multiple Voltage-Frequency Islands (2012) (6)
- An Ambient Temperature Variation Tolerance Scheme for an Ultra Low Power Shared-L1 Processor Cluster (2013) (5)
- OpenMP Support for NBTI-Induced Aging Tolerance in MPSoCs (2009) (5)
- Indirection Stream Semantic Register Architecture for Efficient Sparse-Dense Linear Algebra (2020) (5)
- Temporal Variability Analysis in sEMG Hand Grasp Recognition using Temporal Convolutional Networks (2020) (5)
- Dwarf in a Giant: Enabling Scalable, High-Resolution HPC Energy Monitoring for Real-Time Profiling and Analytics (2018) (5)
- Design of an open-source bridge between non-coherent burst-based and coherent cache-line-based memory systems (2020) (5)
- HEROv2: Full-Stack Open-Source Research Platform for Heterogeneous Computing (2022) (5)
- Pushing On-chip Memories Beyond Reliability Boundaries in Micropower Machine Learning Applications (2019) (5)
- Accurate logic-level power estimation (1995) (5)
- Adaptive EMG-based hand gesture recognition using hyperdimensional computing (2019) (5)
- Generalized matching from theory to application (1997) (5)
- Time Criticality Challenge in the Presence of Parallelised Execution (2014) (5)
- A biofeedback based portable device to support elderly mobility in the home environment (2009) (5)
- PIR based wireless sensor node prototype for surveillance applications (2006) (5)
- Modular Design and Optimization of Biomedical Applications for Ultralow Power Heterogeneous Platforms (2020) (5)
- Model-based vs. Data-driven Approaches for Anomaly Detection in Structural Health Monitoring: a Case Study (2021) (5)
- Dynamic Thermal Clock Skew Compensation Using Tunable Delay Buffers (2008) (5)
- Bonseyes AI Pipeline—Bringing AI to You (2020) (5)
- LightABVS: A Digital Ultrasound Transducer for Multi-Modality Automated Breast Volume Scanning (2019) (5)
- VirtualSoC: A Research Tool for Modern MPSoCs (2016) (5)
- Techniques for Designing Energy-Aware MPSoCs (2005) (5)
- Design of an ultra-low power device for aircraft structural health monitoring (2013) (5)
- Evaluating OpenMP Support Costs on MPSoCs (2010) (5)
- Plenty of room at the bottom? Micropower deep learning for cognitive cyber physical systems (2017) (5)
- Analysis of Power Management Strategies for a Large-Scale SoC Platform in 65nm Technology (2008) (5)
- Region compression: a new scheme for memory energy minimization in embedded systems (1999) (5)
- Energy Efficient System for Tactile Data Decoding Using an Ultra-Low Power Parallel Platform (2017) (5)
- Approximate DIV and SQRT instructions for the RISC-V ISA: An efficiency vs. accuracy analysis (2017) (5)
- Synchronization-Driven Dynamic Speed Scaling for MPSoCs (2006) (5)
- RNN-Based Radio Resource Management on Multicore RISC-V Accelerator Architectures (2021) (5)
- Long-Term ECG monitoring with zeroing Compressed Sensing approach (2015) (5)
- Thermal Model Identification of Computing Nodes in High-Performance Computing Systems (2020) (5)
- Cost-Effective Design of Mesh-of-Tree Interconnect for Multicore Clusters With 3-D Stacked L2 Scratchpad Memory (2015) (5)
- Thermal model identification of supercomputing nodes in production environment (2016) (5)
- Temperature and process-aware performance monitoring and compensation for an ULP multi-core cluster in 28nm UTBB FD-SOI technology (2017) (5)
- Long-Range Radio for Underground Sensors in Geothermal Energy Systems (2016) (5)
- Synergistic Architecture and Programming Model Support for Approximate Micropower Computing (2015) (5)
- Fünfiiber-Drone: A Modular Open-Platform 18-grams Autonomous Nano-Drone (2021) (5)
- Tightly-coupled hardware support to dynamic parallelism acceleration in embedded shared memory clusters (2014) (5)
- Accuracy and Performance Trade-Offs of Logarithmic Number Units in Multi-Core Clusters (2016) (5)
- Evaluation of GALS Methods in Scaled CMOS Technology: Moonrake Chip Experience (2012) (5)
- Design and implementation of a multi sensors self sustainable wearable device (2014) (5)
- User Control Unit for the Smiling System : Design and Functionalities (5)
- A 2 MS/s 10A Hall current sensor SoC with digital compressive sensing encoder in 0.16 µm BCD (2016) (5)
- Delay-sensitive power estimation at the register-transfer level (2001) (5)
- Independent Body-Biasing of P-N Transistors in an 28nm UTBB FD-SOI ULP Near-Threshold Multi-Core Cluster (2018) (5)
- A Robust Algorithm for Automated Analysis of DNA Molecules in AFM Images (2004) (5)
- Energy-efficient design of an always-on smart visual trigger (2016) (5)
- Application of FPGA Emulation to SoC Floorplan and Packaging Exploration (2007) (5)
- A Scalable Algorithmic Framework for Row-Based Power-Gating (2008) (5)
- The AMPERE Project: : A Model-driven development framework for highly Parallel and EneRgy-Efficient computation supporting multi-criteria optimization (2020) (5)
- Designing best effort networks-on-chip to meet hard latency constraints (2013) (5)
- StreamDrive: a Dynamic Dataflow Framework for Clustered Embedded Architectures (2017) (5)
- Improving Autonomous Nano-Drones Performance via Automated End-to-End Optimization and Deployment of DNNs (2021) (5)
- 3D-LIN: A configurable low-latency interconnect for multi-core clusters with 3D stacked L1 memory (2012) (5)
- Hardware implementation of data compression algorithms for memory energy optimization (2003) (5)
- GPU-Accelerated Real-Time Path Planning and the Predictable Execution Model (2017) (5)
- Robust navigation with tinyML for autonomous mini-vehicles (2020) (5)
- Poster Abstract: An Ultra-Low Power Wake up Radio with Addressing and Retransmission Capabilities for Advanced Energy Efficient MAC Protocols (2016) (5)
- Pruning In Time (PIT): A Lightweight Network Architecture Optimizer for Temporal Convolutional Networks (2021) (5)
- H-Watch: An Open, Connected Platform for AI-Enhanced COVID19 Infection Symptoms Monitoring and Contact Tracing. (2021) (4)
- The Predictable Execution Model in Practice (2021) (4)
- Experimental analysis of power optimized waveforms for enhancing wake-up radio sensitivity (2016) (4)
- High speed ASIC implementations of leakage-resilient cryptography (2018) (4)
- The Memory Challenge in Ultra-Low Power Deep Learning (2020) (4)
- Virtual fault simulation of distributed IP-based designs (2000) (4)
- Joint co-clustering: Co-clustering of genomic and clinical bioimaging data (2008) (4)
- Advanced power management techniques: going beyond intelligent shutdown (2003) (4)
- Hardware-Accelerated Energy-Efficient Synchronization and Communication for Ultra-Low-Power Tightly Coupled Clusters (2019) (4)
- Adaptive Random Forests for Energy-Efficient Inference on Microcontrollers (2021) (4)
- A Wearable Gesture Recognition System For Natural Navigation Interfaces (2005) (4)
- Tangerine SMCube : a smart device for human computer interaction (2008) (4)
- A variation tolerant architecture for ultra low power multi-processor cluster (2013) (4)
- Synthesis of Predictable Networks-on-Chip-Based (2007) (4)
- A 1036 TOp/s/W, 12.2 mW, 2.72 μJ/Inference All Digital TNN Accelerator in 22 nm FDX Technology for TinyML Applications (2022) (4)
- Low-Latency Detection of Epileptic Seizures from iEEG with Temporal Convolutional Networks on a Low-Power Parallel MCU (2021) (4)
- Network Architecture: Principles and Examples (2006) (4)
- Towards an Open, Flexible, Wearable Ultrasound Probe for Musculoskeletal Monitoring (2021) (4)
- A 1.15 TOPS/W, 16-Cores Parallel Ultra-Low Power Cluster with 2b-to-32b Fully Flexible Bit-Precision and Vector Lockstep Execution Mode (2021) (4)
- Improving the efficiency of air-flow energy harvesters combining active and passive rectifiers (2013) (4)
- Configurable Low-Latency Interconnect for Multi-core Clusters (2012) (4)
- End-to-end 100-TOPS/W Inference With Analog In-Memory Computing: Are We There Yet? (2021) (4)
- A “New Ara” for Vector Computing: An Open Source Highly Efficient RISC-V V 1.0 Vector Processor Design (2022) (4)
- A 4096-core RISC-V Chiplet Architecture for Ultra-efficient Floating-point Computing (2020) (4)
- On the Feasibility of FPGA Acceleration of Molecular Dynamics Simulations (2018) (4)
- A distributed interleaving scheme for efficient access to WideIO DRAM memory (2012) (4)
- Feature - Circuits and systems for high-throughput biology (2006) (4)
- DNN is not all you need: Parallelizing Non-Neural ML Algorithms on Ultra-Low-Power IoT Processors (2021) (4)
- NTX: An Energy-efficient Streaming Accelerator for Floating-point Generalized Reduction Workloads in 22 nm FD-SOI (2018) (4)
- Tutorial 5: SoC Communication Architectures: Technology, Current Practice, Research, and Trends (2007) (4)
- Generalized Matching : a new approach to concurrent logic optimization and library binding (1995) (4)
- RedMulE: A Compact FP16 Matrix-Multiplication Accelerator for Adaptive Deep Learning on RISC-V-Based Ultra-Low-Power SoCs (2022) (4)
- Improving Memory Utilization in Convolutional Neural Network Accelerators (2020) (4)
- sEMG-based Regression of Hand Kinematics with Temporal Convolutional Networks on a Low-Power Edge Microcontroller (2021) (4)
- Efficient OpenMP data mapping for multicore platforms with vertically stacked memory (2010) (4)
- Energy-Efficient System-Level Design (2002) (4)
- System-Level Design for Nano-Electronics (2007) (4)
- EXPERIENCES WITH EXPERIMENTS IN AMBIENT INTELLIGENCE ENVIRONMENTS (2009) (4)
- Energy-Efficient PRBS Impedance Spectroscopy on a Digital Versatile Platform (2020) (4)
- Predicting Hard Disk Failures in Data Centers Using Temporal Convolutional Neural Networks (2021) (4)
- A Pattern-Mining Method for High-Throughput Lab-on-a-Chip Data Analysis (2006) (4)
- Techniques for enhancing computation of DNA curvature molecules (2004) (4)
- Analyzing power consumption of message passing primitives in a single-chip multiprocessor (2004) (4)
- Battery-aware power management techniques for wearable haptic nodes (2010) (4)
- In-memory Realization of In-situ Few-shot Continual Learning with a Dynamically Evolving Explicit Memory (2022) (4)
- Near-channel classifier: symbiotic communication and classification in high-dimensional space (2021) (4)
- Robust Identification of Thermal Models for In-Production High-Performance-Computing Clusters With Machine Learning-Based Data Selection (2018) (4)
- Quantized NNs as the definitive solution for inference on low-power ARM MCUs?: work-in-progress (2018) (4)
- A high-performance multiported L2 memory IP for scalable three-dimensional integration (2013) (4)
- He-P2012: Performance and Energy Exploration of Architecturally Heterogeneous Many-Cores (2016) (4)
- Binarization Methods for Motor-Imagery Brain–Computer Interface Classification (2020) (4)
- A smart wireless glove for gesture interaction (2008) (4)
- Processor frequency setting for energy minimization of streaming multimedia application (2001) (4)
- Ultra-low energy pest detection for smart agriculture (2020) (4)
- T-Park: ambient intelligence for security in public parks (2005) (4)
- Human Fascicle Strain Behavior During Twitch using Ultrafast Ultrasound (2020) (4)
- Realistically Rendering SoC Traffic Patterns with Interrupt Awareness (2005) (4)
- A HLS-Based Toolflow to Design Next-Generation Heterogeneous Many-Core Platforms with Shared Memory (2014) (4)
- Logic synthesis for low power (2001) (4)
- Architecture and programming model support for efficient heterogeneous computing on tigthly-coupled shared-memory clusters (2013) (4)
- Lightweight Neural Architecture Search for Temporal Convolutional Networks at the Edge (2023) (4)
- A framework for optimizing OpenVX applications performance on embedded manycore accelerators (2015) (4)
- Poster Abstract: Combining LoRa and RTK to Achieve a High Precision Self-Sustaining Geo-Localization System (2018) (4)
- Ultra Low-Power Drowsiness Detection System with BioWolf (2019) (4)
- On the use of a Palm Computer for Design Review Interaction in a Virtual Room (2008) (3)
- Low-overhead state-retaining elements for low-leakage MTCMOS design (2005) (3)
- A lightweight parallel java execution environment for embedded multiprocessor systems-on-chip (2007) (3)
- SNE: an Energy-Proportional Digital Accelerator for Sparse Event-Based Convolutions (2022) (3)
- Explainable Deep Learning for Medical Time Series Data (2020) (3)
- Energy-aware objects abandon / removal detection (2011) (3)
- A Method for Integrating Network-on-Chip Topologies with 3D ICs (2011) (3)
- Energy-Efficient Adaptive Machine Learning on IoT End-Nodes With Class-Dependent Confidence (2020) (3)
- An ultra-low power resilient multi-core architecture with static and dynamic tolerance to ambient temperature-induced variability (2014) (3)
- MiMAPT: Adaptive multi-resolution thermal analysis at RT and gate level (2012) (3)
- Energy-Efficient Two-level Instruction Cache Design for an Ultra-Low-Power Multi-core Cluster (2020) (3)
- Streamlining the OpenMP Programming Model on Ultra-Low-Power Multi-core MCUs (2021) (3)
- Meet Monte Cimone: exploring RISC-V high performance compute clusters (2022) (3)
- Energy-Efficient Data Scrambling for Secure Communication in Crypto-Processors (2003) (3)
- Leading edge low power design [SoCs] (2003) (3)
- Towards a Mobile Health Platform with Parallel Processing and Multi-sensor Capabilities (2017) (3)
- An Energy-Efficient Low-Voltage Swing Transceiver for mW-Range IoT End-Nodes (2020) (3)
- An Energy-efficient Localization System for Imprecisely Positioned Sensor Nodes with Flying UAVs (2020) (3)
- Ultra-Low Power Context Recognition Fusing Sensor Data from an Energy-Neutral Smart Watch (2015) (3)
- Quick generation of temporal power waveforms for RT-level hard macros (1997) (3)
- Approximate Control Design for Solar Driven Sensor Nodes (2008) (3)
- SIMinG‐1k: A thousand‐core simulator running on general‐purpose graphical processing units (2013) (3)
- A transprecision floating-point cluster for efficient near-sensor data analytics (2020) (3)
- A Small, Light and Low-Power Passive Node Sensor for SHM of Composite Panels (2013) (3)
- Errors-in-variables identification of thermal models for many-core computing systems (2013) (3)
- Vau Da Muntanialas: Energy-Efficient Multi-Die Scalable Acceleration of RNN Inference (2021) (3)
- Towards a Multi-Pixel Time-of-Flight Indoor Navigation System for Nano-Drone Applications (2022) (3)
- Power Management for Systems (1998) (3)
- TCN Mapping Optimization for Ultra-Low Power Time-Series Edge Inference (2021) (3)
- Controlling NUMA effects in embedded manycore applications with lightweight nested parallelism support (2016) (3)
- Energy aware multimodal embedded video surveillance (2010) (3)
- Variability-tolerant run-time workload allocation for MPSoC energy minimization under real-time constraints (2010) (3)
- StreamDrive: a Dynamic Dataflow Framework for Clustered Embedded Architectures (2018) (3)
- Average Modelling of State-of-the-Art Ultra-low Power Energy Harvesting Converter IC (2018) (3)
- Multi-core data analytics SoC with a flexible 1.76 Gbit/s AES-XTS cryptographic accelerator in 65 nm CMOS (2017) (3)
- A 2.1 μW event-driven wake-up circuit based on a level-crossing ADC for pattern recognition in healthcare (2017) (3)
- HW/SW approaches for RISC-V code size reduction (2020) (3)
- PULP-TrainLib: Enabling On-Device Training for RISC-V Multi-core MCUs Through Performance-Driven Autotuning (2022) (3)
- A Hybrid Instruction Prefetching Mechanism for Ultra Low-Power Multicore Clusters (2017) (3)
- Moonrake chip - GALS demonstrator in 40 nm CMOS technology (2011) (3)
- A Complete Real-Time Feature Extraction and Matching System Based on Semantic Kernels Binarized (2013) (3)
- A wide tuning-range ADFLL for mW-SoCs with dithering-enhanced accuracy in 65 nm CMOS (2017) (3)
- 3D logarithmic interconnect: Stacking multiple L1 memory dies over multi-core clusters (2013) (3)
- Telescopic Units: Increasing The Average Throughput Pipelined Designs By Adaptive Latency Control (1997) (3)
- RVfplib: A Fast and Compact Open-Source Floating-Point Emulation Library for Tiny RISC-V Processors (2021) (3)
- BioWolf16: a 16-channel, 24-bit, 4kSPS Ultra-Low Power Platform for Wearable Clinical-grade Bio-potential Parallel Processing and Streaming (2022) (3)
- MULTI-CLIENT COOPERATION AND WIRELESS PDA INTERACTION IN IMMERSIVE VIRTUAL ENVIRONMENT (2010) (3)
- Fast Computation of Discharge Current Upper Bounds for Clustered Power Gating (2011) (3)
- HPC Cooling: A Flexible Modeling Tool for Effective Design and Management (2018) (3)
- The State of ESL Design [Roundtable] (2008) (3)
- A Synergistic Approach to Predictable Compilation and Scheduling on Commodity Multi-Cores (2020) (3)
- Paper, pen and ink: An innovative system and software framework to assist writing rehabilitation (2015) (3)
- Detection of Motor Endplates in Deep and Pennate Skeletal Muscles in-vivo using Ultrafast Ultrasound (2020) (3)
- A Scalable Framework for Online Power Modelling of High-Performance Computing Nodes in Production (2018) (3)
- Measuring Efficiency and Executability of Allocation and Scheduling in Multi-Processor Systems-on-Chip (2005) (3)
- Idleness-Aware Dynamic Power Mode Selection on the i.MX 7ULP IoT Edge Processor (2020) (3)
- Embedding Temporal Convolutional Networks for Energy-efficient PPG-based Heart Rate Monitoring (2022) (3)
- Mixed-Precision Quantization and Parallel Implementation of Multispectral Riemannian Classification for Brain-Machine Interfaces (2021) (3)
- A Sub-mW Dual-Engine ML Inference System-on-Chip for Complete End-to-End Face-Analysis at the Edge (2021) (3)
- Mixed-data-model heterogeneous compilation and OpenMP offloading (2020) (3)
- Evolving tuis with smart objects for multi-context interaction (2008) (3)
- A tightly-coupled hardware controller to improve scalability and programmability of shared-memory heterogeneous clusters (2014) (3)
- Monte Cimone: Paving the Road for the First Generation of RISC-V High-Performance Computers (2022) (3)
- Hidden Markov Models Implementation for Tangible Interfaces (2009) (3)
- PsPIN: A high-performance low-power architecture for flexible in-network compute (2020) (3)
- Context Aware Power Management Enhanced by Radio Wake Up in Body Area Networks (2015) (3)
- Tiny-PULP-Dronets: Squeezing Neural Networks for Faster and Lighter Inference on Multi-Tasking Autonomous Nano-Drones (2022) (3)
- Real-time high-sensitivity impedance measurement interface for tethered BLM biosensor arrays (2008) (3)
- Power-aware computing systems (2007) (3)
- In-memory factorization of holographic perceptual representations (2022) (3)
- Robust online identification of thermal models for in-production HPC clusters with machine learning-based data selection (2018) (2)
- MiniFloat-NN and ExSdotp: An ISA Extension and a Modular Open Hardware Unit for Low-Precision Training on RISC-V Cores (2022) (2)
- Hier-3D: A Hierarchical Physical Design Methodology for Face-to-Face-Bonded 3D ICs (2022) (2)
- Sampling modulation: An energy efficient novel feature extraction for biosignal processing (2016) (2)
- Low-Power License Plate Detection and Recognition on a RISC-V Multi-Core MCU-Based Vision System (2021) (2)
- Extending Lifetime of Multi-Battery Mobile Systems by Discharge Current Steering (2003) (2)
- On-line thermal emulation: How to speed-up your thermal controller design (2013) (2)
- Prediction of Thermal Hazards in a Real Datacenter Room Using Temporal Convolutional Networks (2021) (2)
- On-Demand Redundancy Grouping: Selectable Soft-Error Tolerance for a Multicore Cluster (2022) (2)
- Kraken: A Direct Event/Frame-Based Multi-sensor Fusion SoC for Ultra-Efficient Visual Processing in Nano-UAVs (2022) (2)
- An Open-Source Scalable Thermal and Power Controller for HPC Processors (2020) (2)
- A POWER MODELING (2003) (2)
- Robust and Efficient Depth-based Obstacle Avoidance for Autonomous Miniaturized UAVs (2022) (2)
- Application of compressed sensing to ECG signals: Decoder-side benefits of the rakeness approach (2016) (2)
- Mining Gene Sets for Measuring Similarities (2006) (2)
- Low-power wireless accelerometer-based system for wear detection of bandsaw blades (2013) (2)
- PRO3D, Programming for Future 3D Manycore Architectures: Project's Interim Status (2011) (2)
- Fly, Wake-up, Find: UAV-based Energy-efficient Localization for Distributed Sensor Nodes (2022) (2)
- Towards a compact, high-speed optical linkbased 3D optoacoustic imager (2020) (2)
- An Energy Efficient E-Skin Embedded System for Real-Time Tactile Data Decoding (2018) (2)
- Temperature variation aware multi-scale delay, power and thermal analysis at RT and gate level (2015) (2)
- An Energy Optimized JPEG Encoder for Parallel Ultra-Low-Power Processing-Platforms (2019) (2)
- Energy-Efficient Tree-Based EEG Artifact Detection (2022) (2)
- DARKSIDE: A Heterogeneous RISC-V Compute Cluster for Extreme-Edge On-Chip DNN Inference and Training (2023) (2)
- RTK-LoRa: High-Precision, Long-Range, and Energy-Efficient Localization for Mobile IoT Devices (2021) (2)
- Dustin: A 16-Cores Parallel Ultra-Low-Power Cluster with 2b-to-32b Fully Flexible Bit-Precision and Vector Lockstep Execution Mode (2022) (2)
- Sub-mW Keyword Spotting on an MCU: Analog Binary Feature Extraction and Binary Neural Networks (2022) (2)
- KinetiSee: a perpetual wearable camera acquisition system with a kinetic harvester: poster abstract (2016) (2)
- An ultra-low power Address-Event sensor interface for energy-proportional time-to-information extraction (2017) (2)
- A multilevel engine for fast power simulation of realistic inputstreams (2000) (2)
- Static Footprint Control in Code Compression for Low-Energy Embedded Systems (2001) (2)
- Exploration of Low Power Adders for a SIMD Data Path (2007) (2)
- An Energy-Efficient Spiking Neural Network for Finger Velocity Decoding for Implantable Brain-Machine Interface (2022) (2)
- MemPool-3D: Boosting Performance and Efficiency of Shared-L1 Memory Many-Core Clusters with 3D Integration (2021) (2)
- Workshop co-chairs (2009) (2)
- Energy-Efficient Value Based Selective Refresh for Embedded DRAMS (2006) (2)
- A Low Power and Smart Power Unit for Kinetic Self-Sustainable Wearable Devices (2020) (2)
- Multiscale Thermal Management of Computing Systems - The MULTITHERMAN approach (2017) (2)
- A power-efficient 3-D on-chip interconnect for multi-core accelerators with stacked L2 cache (2016) (2)
- Banshee: A Fast LLVM-Based RISC-V Binary Translator (2021) (2)
- RUAD: unsupervised anomaly detection in HPC systems (2022) (2)
- Designing Routing and Message-Dependent Deadlock Free Networks on Chips (2006) (2)
- ATUNs: Modular and Scalable Support for Atomic Operations in a Shared Memory Multiprocessor (2020) (2)
- MM-Correction: Meta-analysis-Based Multiple Hypotheses Correction in Omic Studies (2008) (2)
- An Ambient-Insensitive Battery-Less Wireless Node for Simultaneous Powering and Communication (2021) (2)
- EEGformer: Transformer-Based Epilepsy Detection on Raw EEG Traces for Low-Channel-Count Wearable Continuous Monitoring Devices (2022) (2)
- Offline Data Profiling Techniques to Enhance Memory Compression in Embedded Systems (2002) (2)
- MI-BMInet: An Efficient Convolutional Neural Network for Motor Imagery Brain--Machine Interfaces with EEG Channel Selection (2022) (2)
- F-gate: a device for glitch power minimization (1998) (2)
- Guaranteed Computational Resprinting via Model-Predictive Control (2015) (2)
- Architectural, system level and protocol level techniques for power optimization for networked embedded systems (2005) (2)
- Extending the RISC-V ISA for Efficient RNN-based 5G Radio Resource Management (2020) (2)
- Architecture-aware design and implementation of CNN algorithms for embedded inference: the ALOHA project (2018) (2)
- Energy-Efficient Network-On-Chip Design (2004) (2)
- An interoperable tool-chain for energy monitoring applications (2019) (2)
- Supporting the Scale-Up of High Performance Application to Pre-Exascale Systems: The ANTAREX Approach (2019) (2)
- A Self-Sustaining Micro-Watt Programmable Smart Audio Sensor for Always-On Sensing (2018) (2)
- Thermal analysis and model identification techniques for a logic + WIDEIO stacked DRAM test chip (2014) (2)
- A CP Scheduler for High-Performance Computers (2015) (2)
- FlyDVS: An Event-Driven Wireless Ultra-Low Power Visual Sensor Node (2021) (2)
- SCC Thermal Sensor Characterization and Calibration (2011) (2)
- Sleep power minimisation using adaptive duty-cycling of DC-DC converters in state-retentive systems (2014) (2)
- Tackling Time-Variability in sEMG-based Gesture Recognition with On-Device Incremental Learning and Temporal Convolutional Networks (2021) (2)
- Dataflow Driven Partitioning of Machine Learning Applications for Optimal Energy Use in Batteryless Systems (2022) (2)
- A low-cost point-of-care system for parallel ELISA essays (2009) (2)
- SIM in G-1 k : A Thousand-Core Simulator running on GPGPUs (2012) (2)
- A Wearable Device for Brain-Machine Interaction with Augmented Reality Head-Mounted Display (2018) (2)
- A Wireless System for EEG Acquisition and Processing in an Earbud Form Factor with 600 Hours Battery Lifetime (2022) (2)
- Binary Models for Motor-Imagery Brain-Computer Interfaces: Sparse Random Projection and Binarized SVM (2020) (2)
- Transparent and energy-efficient speculation on NUMA architectures for embedded MPSoCs (2013) (2)
- To Buffer, or Not to Buffer? A Case Study on FFT Accelerators for Ultra-Low-Power Multicore Clusters (2021) (2)
- Aerosense: Long-Range Bluetooth Wireless Sensor Node for Aerodynamic Monitoring on Wind Turbine Blades (2022) (1)
- An On-the-Fly Feature Map Compression Engine for Background Memory Access Cost Reduction in DNN Inference (2020) (1)
- Frequency Assignment in High Performance Computing Systems (2019) (1)
- Temporal Memoization for Energy-Efficient Timing Error Recovery in GPGPU Architectures (2014) (1)
- A retrospective look at xpipes: The exciting ride from a design experience to a design platform for nanoscale networks-on-chip (2012) (1)
- A Low-Power Transprecision Floating-Point Cluster for Efficient Near-Sensor Data Analytics (2021) (1)
- A Smart LED Light Control System for Environmentally Friendly Buildings (2016) (1)
- HULK-V: a Heterogeneous Ultra-low-power Linux capable RISC-V SoC (2022) (1)
- Reducing neural architecture search spaces with training-free statistics and computational graph clustering (2022) (1)
- Towards a Wearable Interface for Food Quality Grading Through ERP Analysis (2019) (1)
- Energy Saving and Thermal Management Opportunities in a Workload-Aware MPI Runtime for a Scientific HPC Computing Node (2017) (1)
- Integrating Logic-Level Power Management Techniques (1997) (1)
- 1 Chapter # A Modified Dual Priority Scheduling in Hard Real Time Systems to Improve Energy Saving . (1)
- Battery Lifetime Optimization for Energy-Aware Circuits (2018) (1)
- Supporting localized OpenVX kernel execution for efficient computer vision application development on STHORM many-core platform (2014) (1)
- Silicon Validation of GALS Methods and Architectures in a State-of-the-Art CMOS Process (2014) (1)
- Dataset supporting the article entitled “Graceful performance modulation for power neutral transient computing systems" (2016) (1)
- A Non-Invasive Approach for Molecular Characterization of Glioblastoma Multiforme: Magnetic Resonance Imaging Correlation with cDNA Microarray Expression Profiles (2004) (1)
- Dynamic Power Management of Streaming Applications over a Wireless LAN (2003) (1)
- Scalable Hierarchical Instruction Cache for Ultralow-Power Processors Clusters (2023) (1)
- Efficient Low-Frequency SSVEP Detection with Wearable EEG Using Normalized Canonical Correlation Analysis (2022) (1)
- Rapid and efficient application design using a signal processing framework for WSN (2010) (1)
- An accurate system for optimal state estimation of a levitated nanoparticle (2018) (1)
- NN2CAM: Automated Neural Network Mapping for Multi-Precision Edge Processing on FPGA-Based Cameras (2021) (1)
- Replication Package for Paper: LLHD: A Multi-level Intermediate Representation for Hardware Description Languages (2020) (1)
- Hot topic: 3D integration or how to scale in the 21st century (2008) (1)
- Exploring architectural solutions for energy optimisations in bus-based system-on-chip (2008) (1)
- A Virtualization Framework for IOMMU-less Many-Core Accelerators (2014) (1)
- Exploring Scalable, Distributed Real-Time Anomaly Detection for Bridge Health Monitoring (2022) (1)
- Efficient image dataset classification difficulty estimation for predicting deep-learning accuracy (2020) (1)
- Optimizing Random Forest-Based Inference on RISC-V MCUs at the Extreme Edge (2022) (1)
- A Contactless, Energy-Neutral Power Meter for Smart City Applications (2016) (1)
- A biofeedback-based portable device to support elderly mobility in the home envi-ronment (2008) (1)
- 16 Gb/s microring-to-microring photonic link in 45 nm monolithic zero-change CMOS (2018) (1)
- Efficient Transform Algorithms for Parallel Ultra-Low-Power IoT End Nodes (2021) (1)
- Systematic Prevention of On-Core Timing Channels by Full Temporal Partitioning (2022) (1)
- Towards Always-on Event-based Cameras for Long-lasting Battery-operated Smart Sensor Nodes (2021) (1)
- Neuro-PULP: A Paradigm Shift Towards Fully Programmable Platforms for Neural Interfaces (2020) (1)
- Value-based Source Code Specialization for Energy Reduction (2002) (1)
- Runtime Support for Multiple Offload-Based Programming Models on Embedded Manycore Accelerators (2015) (1)
- Performance-aware predictive-model-based on-chip body-bias regulation strategy for an ULP multi-core cluster in 28 nm UTBB FD-SOI (2020) (1)
- Power-aware Computing Systems, 3.-8. April 2005 (2005) (1)
- F1: Striking the Balance Between Energy Efficiency & Flexibility: General-Purpose vs Special-Purpose ML Processors (2021) (1)
- An architecture for low-power compressed sensing and estimation in wireless sensor nodes (2014) (1)
- Enabling Scalable and Fine-Grained Nested Parallelism on Embedded Many-cores (2015) (1)
- An optimized task-based runtime system for resource-constrained parallel accelerators (2016) (1)
- Thermal Characterization of a Tier0 Datacenter Room in Normal and Thermal Emergency Conditions (2019) (1)
- Battery-Less Face Recognition at the Extreme Edge (2021) (1)
- Methods for Designing Reliable Probe Arrays (2010) (1)
- An ultra-low power wake up radio with addressing and retransmission capabilities for advanced energy efficient MAC protocols: poster abstract (2016) (1)
- Advanced Power Management of SoC Platforms (2005) (1)
- Model-based design for self-sustainable sensor nodes (2022) (1)
- A Full-Stack and End-to-End Iot Framework for Room Temperature Modelling on Large-Scale (2022) (1)
- Tiny-FPU: Low-Cost Floating-Point Support for Small RISC-V MCU Cores (2021) (1)
- A Library of Accurate Battery Models for Usage in High-Level Power Estimation and Optimization (1999) (1)
- A Stream Compaction Technique Based on Multi-Level Power Simulation (1998) (1)
- Analysis of Cross-layer Vulnerability to Variations: An Adaptive Instruction-level to Task-level Approach 1 (2014) (1)
- DNA Detection by Low-cost Amorphous Silicon UV Sensors (2005) (1)
- A Dual Processor Energy-Efficient Platform with Multi-core Accelerator for Smart Sensing (2016) (1)
- Networks on Chips: Energy-Efficient Design of SoC Interconnect (2004) (1)
- A Novel Object-Oriented Software Cache for Scratchpad-Based Multi-Core Clusters (2014) (1)
- Exploring Coprocessor Interfaces in an Embedded Java Environment (2003) (1)
- Accuracy-Configurable OpenMP (2017) (1)
- A 0.5GHz 0.35mW LDO-Powered Constant-Slope Phase Interpolator With 0.22% INL (2020) (1)
- Wake-up receivers for energy efficient and low latency communication: poster abstract (2016) (1)
- Darkside: 2.6GFLOPS, 8.7mW Heterogeneous RISC-V Cluster for Extreme-Edge On-Chip DNN Inference and Training (2022) (1)
- State-of-the-art Communication Architectures for Multi-Processor Systems-on-Chip (2005) (1)
- A synthesis oriented design library for Network On Chip (2005) (1)
- Hardware-In-The Loop Emulation for Agile Co-Design of Parallel Ultra-Low Power IoT Processors (2021) (1)
- Extending Body Sensor Nodes' Lifetime Using a Wearable Wake-up Radio (2015) (1)
- DARDIS: Distributed And Randomized DIspatching and Scheduling (2016) (1)
- Towards Versatile Fast Training for Wearable Interfaces in Prosthetics (2018) (1)
- MODULAR ARCHITECTURE FOR A WIRELESS BIO-FEEDBACK SYSTEM FOR BALANCE CONTROL (2007) (1)
- Dynamic Power Management of Electronic Circuits and Systems (2003) (1)
- Predictability vs. Efficiency in the Multicore Era: Fight of Titans or Happy Ever after? (2009) (1)
- BrightNet: A Deep CNN for OLED-Based Point of Care Immunofluorescent Diagnostic Systems (2020) (1)
- A Refinement Methodology for Clock Gating Optimization at Layout Level in Digital Circuits (2010) (1)
- On the Cost of Freedom From Interference in Heterogeneous SoCs (2018) (1)
- Bio-inspired Autonomous Exploration Policies with CNN-based Object Detection on Nano-drones (2023) (1)
- A2Event: A Micro-Watt Programmable Frequency-Time Detector for Always-On Energy-Neutral Sensing (2020) (1)
- Energy Efficient NoC Design (2005) (1)
- Traffic Load Estimation from Structural Health Monitoring sensors using supervised learning (2022) (1)
- PHIDIAS: ultra-low-power holistic design for smart bio-signals computing platforms (2016) (1)
- STB-SASB: Combining Synthetic Aperture Sequential Beamforming with Synthetic Transmit Beams for Wireless Ultrasound Probes (2019) (1)
- Designing Reliable Transient Applications (2017) (1)
- KISS PULPino - Updates on PULPino (2016) (1)
- Towards On-device Domain Adaptation for Noise-Robust Keyword Spotting (2022) (1)
- VarDroid: Online variability emulation in Android/Linux platforms (2016) (1)
- Automated Tuning of End-to-end Neural Flight Controllers for Autonomous Nano-drones (2021) (1)
- UStEMG: an Ultrasound Transparent Tattoo-based sEMG System for Unobtrusive Parallel Acquisitions of Muscle Electro-mechanics (2021) (1)
- On the Accuracy of Near-Optimal GPU-Based Path Planning for UAVs (2017) (1)
- Row-based FBB: A design-time optimization for post-silicon tunable circuits (2012) (1)
- WULPUS: a Wearable Ultra Low-Power Ultrasound probe for multi-day monitoring of carotid artery and muscle activity (2022) (1)
- Design and Analysis of NoCs for Low-Power 2D and 3D SoCs (2011) (1)
- LP-ECOS : An Energy Efficient RTOS (2003) (1)
- Ternarized TCN for μJ/Inference Gesture Recognition from DVS Event Frames (2022) (1)
- Enhancing behavioural-level design flows with statistical power estimation capabilities (2005) (1)
- Designing the Batteryless IoT (2017) (1)
- Optimal Synthesis of Gated Clocks for Low-Power Finite-State Machines (1995) (1)
- Battery Properties Battery-driven Dynamic Power Management (2001) (0)
- Complete Tool Flow for Designing Networks-on-Chips Based Communication Architectures for Application-Specific Systems-on-Chips (2007) (0)
- Pose Detection Frame Sequences for CBinfer Evaluations (2018) (0)
- Modified Multiply and Accumulate Unit with Hybrid Encoded Reduced Transition Activity Technique Equipped Multiplier and Low Power 0.13μm Adder for Image Processing Applications (2016) (0)
- 22.1 A 12.4TOPS/W @ 136GOPS AI-IoT System-on-Chip with 16 RISC-V, 2-to-8b Precision-Scalable DNN Acceleration and 30%-Boost Adaptive Body Biasing (2023) (0)
- Linux-Based Data Acquisition and Processing on Palmtop Computer (2006) (0)
- A method for extracting sets of genes related to Magnetic Resonance Imaging (MRI) features significantly enriched for known biological function (2005) (0)
- A body area network with vibrotactile actuation (2009) (0)
- Self-sustaining Ultra-wideband Positioning System for Event-driven Indoor Localization (2022) (0)
- Separation Of Memory Protection And Energy-Aware Memory Mapping (2005) (0)
- Session 9 Overview: ML Processors From Cloud to Edge Machine Learning Subcommittee (2021) (0)
- Near-channel classifier: symbiotic communication and classification in high-dimensional space (2021) (0)
- Variability compensation for full-swing against low-swing on-chip communication (2011) (0)
- Chapter 7 – NoC Programming (2006) (0)
- Power Management for Solar-Driven Sensor Nodes (2006) (0)
- Identification of many-core systems-on-chip with input and output noises (2013) (0)
- Efficient Parallelization of 5G-PUSCH on a Scalable RISC-V Many-core Processor (2022) (0)
- VirtualSoC (2016) (0)
- Networks-on-Chip: an Interconnect Fabric for Multiprocessor Systems-on-Chip (2018) (0)
- Biosensors - Immobilization techniques (2010) (0)
- Empirical Macromodeling of Operating System Communication Primitives (2004) (0)
- TCN-CUTIE: A 1,036-TOp/s/W, 2.72-µJ/Inference, 12.2-mW All-Digital Ternary Accelerator in 22-nm FDX Technology (2022) (0)
- Machine Learning Methodologies to Support HPC Systems Operations: Anomaly Detection (2022) (0)
- Session details: Power modeling and optimization for embedded systems (2004) (0)
- Live Demonstration: Exploiting Body-Biasing for Static Corner Trimming and Maximum Energy Efficiency Operation in 22nm FDX Technology (2020) (0)
- Sub-100uW Multispectral Riemannian Classification for EEG-based Brain--Machine Interfaces (2021) (0)
- A 283 pJ/b 240 Mb/s Floating-Point Baseband Accelerator for Massive MU-MIMO in 22FDX (2022) (0)
- An open platform for efficient drone-to-sensor wireless ranging and data harvesting (2022) (0)
- Guest Editorial: IEEE TC Special Issue On Smart Edge Computing and IoT (2021) (0)
- A Construction Kit for Efficient Low Power Neural Network Accelerator Designs (2021) (0)
- Ultra-High Frequency (500 MHz) Capacitance Spectroscopy for Nanobiosensing (2020) (0)
- ColibriES: A Milliwatts RISC-V Based Embedded System Leveraging Neuromorphic and Neural Networks Hardware Accelerators for Low-Latency Closed-loop Control Applications (2023) (0)
- Sub-PicoJoule per operation scalable computing: why, when, how? (2016) (0)
- Work-in-Progress – A Vertically Integrated Framework to Deploy Deep Neural Networks on Extreme Edge Devices (2019) (0)
- NTX: A 260 Gflop/sW Streaming Accelerator for Oblivious Floating-Point Algorithms in 22 nm FD-SOI (2019) (0)
- Analysing Supercomputer Nodes Behaviour with the Latent Representation of Deep Learning Models (2022) (0)
- Empirical Macromodeling of Operating System Communication Primitives, Probabilistic Analysis Techniques for Real Time and Embedded Systems (2004) (0)
- Microarchitectural Timing Channels and their Prevention on an Open-Source 64-bit RISC-V Core (2021) (0)
- Timing-Error-Tolerant Network-on-Chip (2007) (0)
- Soft Tiles: Capturing Physical Implementation Flexibility for Tightly-Coupled Parallel Processing Clusters (2022) (0)
- VivoSoC Evolution: a Flexible and Portable Biomedical SoC Platform for Data Acquisition and Processing (2016) (0)
- LEVEL SET MODEL OF INTERFACE EVOLUTION IN ANIMAL MORPHOGENESIS. (2017) (0)
- Network and Transport Layers in Networks on Chip (2006) (0)
- Prediction of Time-to-Solution in Material Science Simulations Using Deep Learning (2019) (0)
- REALITY Reliable and Variability tolerant System-ona-chip Design in More-Moore Technologies Contract No 216537 Deliverable D 3 . 4 Report : Techniques for enhancing interconnect variability tolerance Version 1 (0)
- A Configurable, and Accurate Full-Platform Simulator based (0)
- Pervasive for Interactive Heritage (2005) (0)
- A High SNR, Low-latency Dry EMG Acquisition System for Unobtrusive HMI Devices (2022) (0)
- WideVision: A Low-Power, Multi-Protocol Wireless Vision Platform for Distributed Surveillance (2022) (0)
- Increasing the energy efficiency of microcontroller platforms with low-design margin co-processors (2017) (0)
- A Portable Setup for Molecular Detection by Transmission LSPR (2013) (0)
- Wireless bio-feedback system for balance control (2006) (0)
- A High-Precision, Low-Cost Hybrid System for Biomedical Multi-Marker Diagnostic Applications (2009) (0)
- Chapter 10 . Energy-Efficiency Run-time : the COUNTDOWN Approach (2018) (0)
- MemPool: A Scalable Manycore Architecture with a Low-Latency Shared L1 Memory (2023) (0)
- CVA6 RISC-V Virtualization: Architecture, Microarchitecture, and Design Space Exploration (2023) (0)
- Sequence-Level Tolerance (2017) (0)
- 64-kB 65-nm GC-eDRAM With Half-Select Support and Parallel Refresh Technique (2022) (0)
- Energy-E cient Design ofBattery-Powered Embedded (1999) (0)
- DNN Models for CBinfer Evaluations (2018) (0)
- Designing many-core platforms for silicon-efficient embedded multimedia computing (2010) (0)
- Editorial Advisory Board a Portable Light-excitation Equipped Bio-amperometer for Electrogenic Biomaterials to Support the Technical Development of Most Biosensors Development of a Fiber-optic Capillary Evanescent Wave Surface Plasmon Resonance Improving Probe Immobilization for Label-free Capacitiv (2008) (0)
- Guest Editors’ Introduction: Machine Intelligence at the Edge (2021) (0)
- Low-Overhead Early-Stopping Policies for Efficient Random Forests Inference on Microcontrollers (2021) (0)
- Powering wireless sensor nodes with micro fuel cells (2013) (0)
- Spatial and Temporal Memoization (2017) (0)
- Adversarially-Trained Tiny Autoencoders for Near-Sensor Continuous Structural Health Monitoring (2022) (0)
- Session details: H/S Embedded Systems (2001) (0)
- Secure Near-Sensor Analytics: the PULP approach (2019) (0)
- Automated Synergistic Parallelization and HW Accele- ration for On-Chip Shared-Memory Clusters (2012) (0)
- Designing Reliable Systems with Unreliable Devices Challenges and Opportunities (2007) (0)
- NETWIS: A Scalable and Robust Body Sensor Network For Biomedical Application (2019) (0)
- 2009 List of Reviewers (2009) (0)
- HapticLib: a haptic feedback library for embedded platforms (2013) (0)
- Quark: An Integer RISC-V Vector Processor for Sub-Byte Quantized DNN Inference (2023) (0)
- Designs and Implementations of NoC-Based SoCs (2006) (0)
- Chapter # 16 Energy-efficient system-level design (2002) (0)
- Improving PPG-based Heart-Rate Monitoring with Synthetically Generated Data (2022) (0)
- A shared-FPU architecture for ultra-low power MPSoCs (2013) (0)
- Energy-Efficient Context Aware Power Management with Asynchronous Protocol for Body Sensor Network (2016) (0)
- Memristive-Based Associative Memory for Error Recovery (2017) (0)
- Design Methodologies and CAD Tool Flows for NoCs (2006) (0)
- A Data-Driven Approach to Lightweight DVFS-Aware Counter-Based Power Modeling for Heterogeneous Platforms (2022) (0)
- Automatic Extraction of Muscle Fascicle Pennation Angle from Raw Ultrasound Data (2022) (0)
- Application-Driven Memory Partitioning (2002) (0)
- Inertial sensors for Wireless Body Area Networks: the WiMoCA Solution (2007) (0)
- Temporal Discharge Current Driven Clustering for Improved Leakage Power Reduction in Row-Based Power-Gating (2009) (0)
- Experimenting with Emerging ARM and RISC-V Systems for Decentralised Machine Learning (2023) (0)
- Rule-Based Thermal Anomaly Detection for Tier-0 HPC Systems (2022) (0)
- Application-Specific Memories (2002) (0)
- A 10-core SoC with 20 Fine-Grain Power Domains for Energy-Proportional Data-Parallel Processing over a Wide Voltage and Temperature Range (2021) (0)
- Optimized Techniques for DNA structural properties investigation (2006) (0)
- Memory-Aware Partitioning of Machine Learning Applications for Optimal Energy Use in Batteryless Systems (2021) (0)
- Channel-wise Mixed-precision Assignment for DNN Inference on Constrained Edge Nodes (2022) (0)
- Timed supersetting and the synthesis of large telescopic units (1998) (0)
- Enhancing Behavioral-Level Design Flows with Statistical Power Estimation Capabilities (Extended Version of PATMOS 2003 Paper) (2004) (0)
- A RDMA Interface for Ultra-Fast Ultrasound Data-Streaming over an Optical Link (2022) (0)
- ViT-LR: Pushing the Envelope for Transformer-Based on-Device Embedded Continual Learning (2022) (0)
- Leveraging Tactile Sensors for Low Latency Embedded Smart Hands for Prosthetic and Robotic Applications (2022) (0)
- A RISC-V Heterogeneous SoC for Embedded Devices (0)
- Proposal of a strategic model to unlock the circular potential in industrial practice (2022) (0)
- CONV _ 1 BN , Pool 2 x 2 CONV _ 2 BN , Pool 2 x 2 CONV _ 3 BN , Pool 2 x 2 (2020) (0)
- A Novel Object-Oriented Software Cache for Scratchpad-Based Multi-Core Clusters (2014) (0)
- Power Management for Functional Units (1998) (0)
- 3D CV Descriptor on Parallel Heterogeneous Platforms (2015) (0)
- VLSI-SoC 2019 Tutorial (2019) (0)
- Scale up your In-Memory Accelerator: Leveraging Wireless-on-Chip Communication for AIMC-based CNN Inference (2022) (0)
- Biosensors - Mass transducers (2010) (0)
- FPGA Synthesis and CAD for Reconfigurable Systems (2009) (0)
- Sparse Hamming Graph: A Customizable Network-on-Chip Topology (2022) (0)
- Application-Specific Core-Based Systems (2002) (0)
- Smart integrated microsystems: the energy efficiency challenge (Conference Presentation) (Plenary Presentation) (2017) (0)
- Chapter 9 3 D Network on Chip Topology Synthesis : Designing Custom Topologies for Chip Stacks (2010) (0)
- RedMule: A Mixed-Precision Matrix-Matrix Operation Engine for Flexible and Energy-Efficient On-Chip Linear Algebra and TinyML Training Acceleration (2023) (0)
- Aerosense: A Self-Sustainable and Long-Range Bluetooth Wireless Sensor Node for Aerodynamic and Aeroacoustic Monitoring on Wind Turbines (2022) (0)
- a novel paradigm based on mixed EEG and indoor localization (2019) (0)
- Physical Network Layer (2006) (0)
- Reconfigurable natural interaction in smart environments: approach and prototype implementation (2011) (0)
- 05141 Abstracts Collection - Power-aware Computing Systems (2005) (0)
- Investigating Energy / Performance Tradeoffs using Transactional Memory in a MPSoC Environment (2006) (0)
- AXI-Pack: Near-Memory Bus Packing for Bandwidth-Efficient Irregular Workloads (2022) (0)
- Parallel subdivision surface rendering and animation on the Cell BE processor (2010) (0)
- A wearable biosensing system with in-sensor adaptive machine learning for hand gesture recognition (2020) (0)
- Hybrid Modular Redundancy: Exploring Modular Redundancy Approaches in RISC-V Multi-Core Computing Clusters for Reliable Processing in Space (2023) (0)
- L’efficienza energetica nei centri di supercalcolo = Energy Efficiency in Supercomputer Centers (2015) (0)
- Minisymposium - Network-on-ChipNetworks on Chips: A Synthesis Perspective (2006) (0)
- Fiber-Optic Technologies for Wireline and Wireless In-building Networks (2012) (0)
- Heterogeneous multi-harvester for wireless sensor networks (2013) (0)
- MODERN sign of Reliable , process variation ENIAC-120003 MODERN Work Package : 3 . 2 Deliverable : D 3 . 2 . 2-aware tools for simulation of digital (2011) (0)
- Procedure-Level Tolerance (2017) (0)
- Parallelizing Optical Flow Estimation on an Ultra-Low Power RISC-V Cluster for Nano-UAV Navigation (2022) (0)
- Network of Excellence IST-004527 ARTIST 2 : Embedded Systems Design Activity Progress Report for Year 2 JPRA-Cluster Integration Design for Low Power Clusters : Execution Platforms Activity Leader : (0)
- CONVOLVE: Smart and seamless design of smart edge processors (2022) (0)
- Analyzing Memory Interference of FPGA Accelerators on Multicore Hosts in Heterogeneous Reconfigurable SoCs (2021) (0)
- A Traffic Injection Methodology with Support for System-Level Synchronization (2005) (0)
- On-chip micronetworks, designed with a layered methodology, will meet the distinctive challenges of providing functionally correct, reliable operation of interacting system-on-chip components. (2002) (0)
- Ambient Intelligence: A Computational Perspective (2003) (0)
- Semi-supervised anomaly detection on a Tier-0 HPC system (2022) (0)
- FULLY ELECTRONIC DNA DETECTION TECHNIQUE (2005) (0)
- sEMG Neural Spikes Reconstruction for Gesture Recognition on a Low-Power Multicore Processor (2022) (0)
- Using Low-Power, Low-Cost IoT Processors in Clinical Biosignal Research: an In-depth Feasibility Check (2020) (0)
- Biosensors - Electrochemical transducers (2010) (0)
- ControlPULP: A RISC-V Power Controller for HPC Processors with Parallel Control-Law Computation Acceleration (2022) (0)
- End-to-End DNN Inference on a Massively Parallel Analog In Memory Computing Architecture (2022) (0)
- Hardware Platforms for Third-Generation Mobile Terminals (2008) (0)
- DNN IS NOT ALL YOU NEED : P (2021) (0)
- Energy Optimization of the Memory Sub-System (2002) (0)
- Exploiting Hardware Transactional Memory for Error-Resilient and Energy-Efficient Execution (2015) (0)
- XpulpNN: Enabling Energy Efficient and Flexible Inference of Quantized Neural Networks on RISC-V based IoT End Nodes (2021) (0)
- Multi-level anomaly prediction in Tier-0 datacenter: a deep learning approach (2022) (0)
- Factorizers for Distributed Sparse Block Codes (2023) (0)
- Training Quantised Neural Networks with STE Variants: the Additive Noise Annealing Algorithm (2022) (0)
- Parallel Rendering and Animation of Subdivision Surfaces on the Cell BE Processor (2011) (0)
- Power-aware Computing Systems, 21.01. - 26.01.2007 (2007) (0)
- Non-invasive voltage measurement in a three-phase autonomous meter (2016) (0)
- DNA Detection on Microfabricated Gold Electrodes on Silicon (2005) (0)
- Reducing Energy Consumption in Microcontroller-based Platforms with Low Design Margin CoProcessors (2015) (0)
- SALSA: Simulated Annealing based Loop-Ordering Scheduler for DNN Accelerators (2023) (0)
- Micro-array device, for DNA recognition, includes micro-sensors to output signals indicating absorption of ultraviolet radiation in micro-location, when traversed by UV beam during hybridization process (2006) (0)
- Spatz: A Compact Vector Processing Unit for High-Performance and Energy-Efficient Shared-L1 Clusters (2022) (0)
- Title Towards persistent structural health monitoring through sustainable wireless sensor networks (2018) (0)
- Guest Editorial (2004) (0)
- Bioimaging and Functional Genomics (2006) (0)
- Modeling the Thermal and Power Control Subsystem in HPC Processors (2022) (0)
- Towards the Future Generation of Railway Localization and Signaling Exploiting sub-meter RTK GNSS (2022) (0)
- Extending the Lifetime of Nano-Blimps via Dynamic Motor Control (2018) (0)
- Compact optical link acquisition for high-speed optoacoustic imaging (2022) (0)
- Modeling and Evaluation of Application-Aware Dynamic Thermal Control in HPC Nodes (2017) (0)
- Adaptive Algorithmic Power Optimization for Multimedia Workload in Mobile Environments (2004) (0)
- Fully On-board Low-Power Localization with Multizone Time-of-Flight Sensors on Nano-UAVs (2022) (0)
- Ternarized TCN for $\mu \mathrm{J}/\text{Inference}$ Gesture Recognition from DVS Event Frames (2022) (0)
- A Multi Harvester with Hydrogen Fuel Cell for Outdoor Applications (2013) (0)
- Hydra: An Accelerator for Real-Time Edge-Aware Permeability Filtering in 65nm CMOS (2017) (0)
- Automatic multiview synthesis — Prototype demo (2015) (0)
- PULP: Extreme Energy Efficiency for Extreme Edge AI Acceleration (2022) (0)
- XwattPilot: A Full-stack Cloud System Enabling Agile Development of Transprecision Software for Low-power SoCs (2020) (0)
- 3.2 Approximate and Near-Threshold Computing (2020) (0)
- Chapter 1 Hardware Platforms for Third-Generation Mobile Terminals (2008) (0)
- Statistical Significance in Omic Data Analyses - Alternative/Complementary Method for Efficient Automatic Identification of Statistically Significant Tests in High Throughput Biological Studies (2008) (0)
- The SystemC simulation framework provides a generic design environment for multiprocessor architectures that enables transparent integration of instruction-set simulators and prototyping boards. Experimental results demonstrate significant speedup in both cosimulation and emulation. (2003) (0)
- 6 System-Level Thermal Estimation and Management (2019) (0)
- Design of a low-power structural monitoring system to locate impacts based on dispersion compensation (2013) (0)
- Experimenting with Emerging RISC-V Systems for Decentralised Machine Learning (2023) (0)
- HexaMesh: Scaling to Hundreds of Chiplets with an Optimized Chiplet Arrangement (2022) (0)
- Reducing the Energy Consumption of sEMG-Based Gesture Recognition at the Edge Using Transformers and Dynamic Inference (2023) (0)
- Power-aware Computing Systems Dagstuhl Seminar (2007) (0)
- 5.7 Software-centric techniques for embedded systems (2019) (0)
- Exploiting Temporal Discharge Current Information to Improve the Efficiency of Clustered Power-Gating (2009) (0)
- Application-Specific Code Compression (2002) (0)
- A Fully Integrated 5-mW, 0.8-Gbps Energy-Efficient Chip-to-Chip Data Link for Ultralow-Power IoT End-Nodes in 65-nm CMOS (2021) (0)
- RISC-V for Real-time MCUs - Software Optimization and Microarchitectural Gap Analysis (2021) (0)
- Biosensors and Bioinformatic for Genetic Analysis (2004) (0)
- Hierarchically Focused Guardbanding (2017) (0)
- BIBE 2004 TABLE OF CONTENTS (2004) (0)
- AMethod for Routing Packets Across Multiple Paths in NoCs with In-Order Delivery and Fault-Tolerance (2015) (0)
- GPGPU-Accelerated Instruction Accurate and Fast Simulation of Thousand-core Platforms (2012) (0)
- Demo Abstract: Towards Reliable Obstacle Avoidance for Nano-UAVs (2022) (0)
- ARCHITECTURE OF SYSTEMS ON CHIP (2020) (0)
- Guest Editorial: Special Section on the ACM/IEEE Symposium on Networks-on-Chip 2010 (2011) (0)
- LightProbe: A fully-digital 64-channel ultrasound probe with high-bandwidth optical interface (2017) (0)
- Machine d'exercice (2009) (0)
- Session details: Energy-aware systems (2004) (0)
- Sub-100 $\mu$W Multispectral Riemannian Classification for EEG-Based Brain–Machine Interfaces (2021) (0)
- Integrating event-based dynamic vision sensors with sparse hyperdimensional computing: a low-power accelerator with online learning capability. (2020) (0)
- Towards the Future Generation of Railway Localization Exploiting RTK and GNSS (2023) (0)
- Tight Integration of GALS Interfaces into the NoC Architecture (2009) (0)
- 07041 Summary - Power-aware Computing Systems (2005) (0)
- Why is energy efficiency in software important and how can it be improved ? (0)
- Multi-Complexity-Loss DNAS for Energy-Efficient and Memory-Constrained Deep Neural Networks (2022) (0)
- Conductive oxides as new materials for electrical DNA detection (2004) (0)
- Hardware Transactional Memory Exploration in Coherence-Free Many-Core Architectures (2018) (0)
- PULP: A Ultra-Low Power Parallel Accelerator for Energy-Efficient and Flexible Embedded Vision (2015) (0)
- Variability Emulation in Linux / Android Devices (2015) (0)
- Optimizing memory bandwidth exploitation for OpenVX applications on embedded many-core accelerators (2015) (0)
- Memristive-Based Associative Memory for Approximate Computational Reuse (2017) (0)
- SoC Communication Architectures: From Interconnection Buses to Packet-Switched NoCs (2018) (0)
- Clinical bioimaging and functional genomics (2006) (0)
- Neuromorphic Optical Flow and Real-time Implementation with Event Cameras (2023) (0)
- Automatic multiview synthesis — Towards a mobile system on a chip (2015) (0)
- A Cost-Effective Embedded Platform for Scalable Multichannel Biopotential Acquisition (2018) (0)
- Solar harvesting per reti di sensori wireless (2008) (0)
- Instruction-Level Tolerance (2017) (0)
- SE2: Going Remote: Challenges and Opportunities to Remote Learning, Work, and Collaboration (2021) (0)
- Implementing CNN Layers on the Manticore Cluster-Based Many-Core Architecture (2021) (0)
- Message from the general chairs (2015) (0)
- Power Management for Control Units (1998) (0)
- Hardware Transactional Memory Exploration in Coherence-Free Many-Core Architectures (2018) (0)
- Optimized Technique for Dna Structural Properties Discovering (2006) (0)
- On-Going Research on Address Bus Encoding for Low Power: A Status Report (1997) (0)
- Exploring DMA-assisted prefetching strategies for software caches on multicore clusters (2014) (0)
- Biosensors - Optical biosensors for Cancer Early Detection (2010) (0)
- Deep Neural Network Architecture Search for Accurate Visual Pose Estimation aboard Nano-UAVs (2023) (0)
- He-P2012: Performance and Energy Exploration of Architecturally Heterogeneous Many-Cores (2015) (0)
- Operating System Based Simulation Framework for Validation of Power Management Policies in Embedded Systems (2009) (0)
- Live Demonstration: Body-Bias Based Performance Monitoring and Compensation for a Near-Threshold Multi-Core Cluster in 28nm FD-SOI Technology (2018) (0)
- How to Live with Uncertainties: Exploiting the Performance Benefits of Self-Timed Logic In Synchronous Design (2008) (0)
- A self-powered video sensor node triggered by PIR sensors (2007) (0)
- Editorial Advisory Board Interface Layering Phenomena in Capacitance Detection of Dna with Biochips a Simple and Sensitive Flow Injection Optical Fibre Biosensor Based on Immobilised Enzyme for Monitoring of Pesticides Design and Characterization of a Solid-state Piezoelectric Transducer Chemical Se (2007) (0)
This paper list is powered by the following services:
What Schools Are Affiliated With Luca Benini?
Luca Benini is affiliated with the following schools: