Paul Nealey
Researcher
Paul Nealey's AcademicInfluence.com Rankings


Download Badge
Chemistry Engineering
Paul Nealey's Degrees
- PhD Chemical Engineering University of California, Berkeley
- Masters Chemical Engineering University of California, Berkeley
Why Is Paul Nealey Influential?
(Suggest an Edit or Addition)According to Wikipedia, Paul Franklin Nealey is an American molecular engineer. Nealey studied chemical engineering at Rice University, then earned a doctorate in the subject from the Massachusetts Institute of Technology. He undertook postdoctoral research at Harvard University before working for Solvay et Compagnie in Brussels. During his teaching career at University of Wisconsin–Madison, Nealey received the National Science Foundation Career Award in 1997, a Camille Dreyfus Teacher-Scholar Award in 2001, and was subsequently named Shoemaker Professor of Chemical and Biological Engineering. He was granted fellowship in the American Physical Society in 2008 "[f]or fundamental and insightful research on the dimension dependent properties of polymer nanostructures, the directed self-assembly of block copolymers, and their application in the development of advanced lithographic materials and processes." Nealey later joined the University of Chicago as the Brady W. Dougan Professor in Molecular Engineering, and also accepted a joint appointment to the Argonne National Laboratory's Material Science Division. In 2018, Nealey was named a member of the National Academy of Engineering "[f]or the development of directed self-assembly of block copolymers as an industrially significant process for nanolithography."
Paul Nealey's Published Works
Published Works
- Epitaxial self-assembly of block copolymers on lithographically defined nanopatterned substrates (2003) (1400)
- Effects of synthetic micro- and nano-structured surfaces on cell behavior. (1999) (1373)
- Epithelial contact guidance on well-defined micro- and nanostructured substrates (2003) (930)
- Directed Assembly of Block Copolymer Blends into Nonregular Device-Oriented Structures (2005) (808)
- Density Multiplication and Improved Lithography by Directed Block Copolymer Assembly (2008) (495)
- Directed self-assembly of block copolymers for nanolithography: fabrication of isolated features and essential integrated circuit geometries. (2007) (396)
- Dependence of the Glass Transition Temperature of Polymer Films on Interfacial Energy and Thickness (2001) (395)
- Nanoscale topography of the basement membrane underlying the corneal epithelium of the rhesus macaque (1999) (334)
- Block copolymers and conventional lithography (2006) (308)
- Biological length scale topography enhances cell-substratum adhesion of human corneal epithelial cells (2004) (282)
- The effect of environmental factors on the response of human corneal epithelial cells to nanoscale substrate topography. (2006) (267)
- Molecular simulation of ultrathin polymeric films near the glass transition. (2000) (266)
- Responses of human keratocytes to micro- and nanostructured substrates. (2004) (239)
- Precise Control over Molecular Dimensions of Block‐Copolymer Domains Using the Interfacial Energy of Chemically Nanopatterned Substrates (2004) (216)
- Effect of Composition of Substrate-Modifying Random Copolymers on the Orientation of Symmetric and Asymmetric Diblock Copolymer Domains (2008) (213)
- The elastic modulus of Matrigel as determined by atomic force microscopy. (2009) (210)
- Chemical Patterns for Directed Self-Assembly of Lamellae-Forming Block Copolymers with Density Multiplication of Features (2013) (204)
- Modulation of osteogenic differentiation in hMSCs cells by submicron topographically-patterned ridges and grooves. (2012) (201)
- Modulation of human vascular endothelial cell behaviors by nanotopographic cues. (2010) (193)
- Graphoepitaxy of cylinder-forming block copolymers for use as templates to pattern magnetic metal dot arrays (2005) (189)
- Monte Carlo Simulations of a Coarse Grain Model for Block Copolymers and Nanocomposites (2008) (188)
- Mechanical heterogeneities in model polymer glasses at small length scales. (2004) (187)
- Directed Assembly of Lamellae‐ Forming Block Copolymers by Using Chemically and Topographically Patterned Substrates (2007) (182)
- Sub-50 nm period patterns with EUV interference lithography (2003) (179)
- Determining the mechanical properties of human corneal basement membranes with atomic force microscopy. (2009) (179)
- Fabrication of Lithographically Defined Chemically Patterned Polymer Brushes and Mats (2011) (179)
- Nanoscale topography modulates corneal epithelial cell migration. (2003) (173)
- Nanoscale topography of the corneal epithelial basement membrane and Descemet's membrane of the human. (2000) (171)
- Perpendicular Orientation of Domains in Cylinder-Forming Block Copolymer Thick Films by Controlled Interfacial Interactions (2009) (166)
- One‐Step Direct‐Patterning Template Utilizing Self‐Assembly of POSS‐Containing Block Copolymers (2009) (163)
- Directed self-assembly of block copolymers on chemical patterns: A platform for nanofabrication (2016) (156)
- Characterization of endothelial basement membrane nanotopography in rhesus macaque as a guide for vessel tissue engineering. (2009) (143)
- Hierarchical patterns of three-dimensional block-copolymer films formed by electrohydrodynamic jet printing and self-assembly. (2013) (142)
- Effects of annealing time and temperature on the crystallinity and heat resistance behavior of injection‐molded poly(lactic acid) (2013) (142)
- Cooperative modulation of neuritogenesis by PC12 cells by topography and nerve growth factor. (2005) (141)
- Monte Carlo Simulations of Asymmetric Diblock Copolymer Thin Films Confined between Two Homogeneous Surfaces (2001) (141)
- Using Self-Assembled Monolayers Exposed to X-rays To Control the Wetting Behavior of Thin Films of Diblock Copolymers (2000) (140)
- Rapid Directed Assembly of Block Copolymer Films at Elevated Temperatures (2008) (138)
- The scale of substratum topographic features modulates proliferation of corneal epithelial cells and corneal fibroblasts. (2006) (138)
- Sub-10-nm patterning via directed self-assembly of block copolymer films with a vapour-phase deposited topcoat. (2017) (131)
- Interpolation in the Directed Assembly of Block Copolymers on Nanopatterned Substrates: Simulation and Experiments (2010) (131)
- Side-chain-grafted random copolymer brushes as neutral surfaces for controlling the orientation of block copolymer microdomains in thin films. (2006) (124)
- Monte Carlo simulations of diblock copolymer thin films confined between two homogeneous surfaces (2000) (123)
- Guided Self-Assembly of Symmetric Diblock Copolymer Films on Chemically Nanopatterned Substrates (2000) (120)
- Exposure of 38 nm period grating patterns with extreme ultraviolet interferometric lithography (1999) (118)
- Extraordinary elevation of the glass transition temperature of thin polymer films grafted to silicon oxide substrates (2001) (115)
- Roadmap on optical metamaterials (2016) (115)
- Control over position, orientation, and spacing of arrays of gold nanorods using chemically nanopatterned surfaces and tailored particle-particle-surface interactions. (2012) (114)
- Dimensions and Shapes of Block Copolymer Domains Assembled on Lithographically Defined Chemically Patterned Substrates (2007) (111)
- Monte carlo simulation of coarse grain polymeric systems. (2009) (110)
- Generalization of the Use of Random Copolymers To Control the Wetting Behavior of Block Copolymer Films (2008) (109)
- Metal nanodot memory by self-assembled block copolymer lift-off. (2010) (107)
- Local mechanical properties of polymeric nanocomposites. (2005) (107)
- Hierarchical assembly of nanoparticle superstructures from block copolymer-nanoparticle composites. (2008) (107)
- Morphology of multi-component polymer systems: single chain in mean field simulation studies. (2006) (105)
- Aqueous-based photoresist drying using supercritical carbon dioxide to prevent pattern collapse (2000) (104)
- Biophysical cues and cell behavior: the big impact of little things. (2013) (103)
- Free Energy of Defects in Ordered Assemblies of Block Copolymer Domains. (2012) (100)
- Building Buzz (2014) (99)
- Patterning and Templating for Nanoelectronics (2010) (99)
- Integration of Density Multiplication in the Formation of Device‐Oriented Structures by Directed Assembly of Block Copolymer–Homopolymer Blends (2010) (94)
- Mechanism and kinetics of ordering in diblock copolymer thin films on chemically nanopatterned substrates (2005) (93)
- Laser Writing Block Copolymer Self-Assembly on Graphene Light-Absorbing Layer. (2016) (92)
- Placement control of nanomaterial arrays on the surface-reconstructed block copolymer thin films. (2009) (92)
- Characterizing the Three-Dimensional Structure of Block Copolymers via Sequential Infiltration Synthesis and Scanning Transmission Electron Tomography. (2015) (91)
- Fabrication of complex three-dimensional nanostructures from self-assembling block copolymer materials on two-dimensional chemically patterned templates with mismatched symmetry. (2006) (91)
- Molecular pathways for defect annihilation in directed self-assembly (2015) (91)
- Calculation of local mechanical properties of filled polymers. (2007) (90)
- Domain Orientation and Grain Coarsening in Cylinder-Forming Poly(styrene-b-methyl methacrylate) Films (2011) (88)
- Theoretically informed coarse grain simulations of block copolymer melts: method and applications (2009) (88)
- Long-range spin wave mediated control of defect qubits in nanodiamonds (2017) (84)
- Nanoscale topography-induced modulation of fundamental cell behaviors of rabbit corneal keratocytes, fibroblasts, and myofibroblasts. (2010) (84)
- Directed self-assembly of liquid crystalline blue-phases into ideal single-crystals (2017) (83)
- Sub-micron and nanoscale feature depth modulates alignment of stromal fibroblasts and corneal epithelial cells in serum-rich and serum-free media. (2008) (83)
- Implementation of a chemo-epitaxy flow for directed self-assembly on 300-mm wafer processing equipment (2012) (82)
- Square Arrays of Vertical Cylinders of PS-b-PMMA on Chemically Nanopatterned Surfaces (2007) (81)
- Plasma etch removal of poly(methyl methacrylate) in block copolymer lithography (2008) (80)
- Graphoepitaxial Assembly of Symmetric Block Copolymers on Weakly Preferential Substrates (2010) (80)
- Remediation of Line Edge Roughness in Chemical Nanopatterns by the Directed Assembly of Overlying Block Copolymer Films (2010) (80)
- Comparison of resist collapse properties for deep ultraviolet and 193 nm resist platforms (2000) (78)
- Directed Self-Assembly of Polystyrene-b-poly(propylene carbonate) on Chemical Patterns via Thermal Annealing for Next Generation Lithography. (2017) (78)
- The applications of atomic force microscopy to vision science. (2010) (77)
- Fabrication of Nanoporous Alumina Ultrafiltration Membrane with Tunable Pore Size Using Block Copolymer Templates (2017) (72)
- Defect removal in the course of directed self-assembly is facilitated in the vicinity of the order-disorder transition. (2014) (72)
- Integration of block copolymer directed assembly with 193 immersion lithography (2010) (72)
- Simulation of Defect Reduction in Block Copolymer Thin Films by Solvent Annealing. (2015) (72)
- Local dynamic mechanical properties in model free-standing polymer thin films. (2005) (72)
- Preparation of Neutral Wetting Brushes for Block Copolymer Films from Homopolymer Blends (2008) (70)
- Alterations in gene expression of human vascular endothelial cells associated with nanotopographic cues. (2010) (70)
- Symmetric diblock copolymer thin films confined between homogeneous and patterned surfaces: Simulations and theory (2000) (68)
- Directed assembly of cylinder-forming block copolymer films and thermochemically induced cylinder to sphere transition: a hierarchical route to linear arrays of nanodots. (2005) (68)
- Electron Microscopy of the Canine Corneal Basement Membranes (2002) (67)
- Long-Range Order and Orientation of Cylinder-Forming Block Copolymers on Chemically Nanopatterned Striped Surfaces (2006) (65)
- Substratum topography modulates corneal fibroblast to myofibroblast transformation. (2012) (64)
- Elastic properties and glass transition of supported polymer thin films (2007) (64)
- Adhesion and proliferation of corneal epithelial cells on self-assembled monolayers. (2000) (64)
- Surface Roughening of Polystyrene and Poly(methyl methacrylate) in Ar/O2 Plasma Etching (2010) (63)
- Wetting Behavior of Block Copolymers on Self-Assembled Films of Alkylchlorosiloxanes: Effect of Grafting Density (2000) (63)
- Towards an all-track 300 mm process for directed self-assembly (2011) (62)
- Influence of Side-Chain Chemistry on Structure and Ionic Conduction Characteristics of Polythiophene Derivatives: A Computational and Experimental Study (2019) (62)
- Three‐dimensional Directed Assembly of Block Copolymers together with Two‐dimensional Square and Rectangular Nanolithography (2011) (62)
- A Near Edge X-ray Absorption Fine Structure Spectroscopy Investigation of the Structure of Self-Assembled Films of Octadecyltrichlorosilane (2002) (62)
- Directed copolymer assembly on chemical substrate patterns: a phenomenological and single-chain-in-mean-field simulations study of the influence of roughness in the substrate pattern. (2008) (61)
- Evolutionary Optimization of Directed Self-Assembly of Triblock Copolymers on Chemically Patterned Substrates. (2014) (61)
- Ultrastructural basement membrane topography of the bladder epithelium (2003) (61)
- A two-dimensional model of the deformation of photoresist structures using elastoplastic polymer properties (2004) (60)
- Mechanical properties of antiplasticized polymer nanostructures (2010) (60)
- New Strategy for Controlling the Size and Shape of Metallic Features Formed by Electroless Deposition of Copper: Microcontact Printing of Catalysts on Oriented Polymers, Followed by Thermal Shrinkage (1996) (59)
- Defect Structure in Thin Films of a Lamellar Block Copolymer Self-Assembled on Neutral Homogeneous and Chemically Nanopatterned Surfaces (2006) (59)
- Large-scale parallel arrays of silicon nanowires via block copolymer directed self-assembly. (2012) (58)
- Directed Self-Assembly of Triblock Copolymer on Chemical Patterns for Sub-10-nm Nanofabrication via Solvent Annealing. (2016) (57)
- Deformation of Nanoscopic Polymer Structures in Response to Well‐Defined Capillary Forces (2003) (56)
- Thickness Dependence of Neutral Parameter Windows for Perpendicularly Oriented Block Copolymer Thin Films (2010) (56)
- Phase Behavior and Dimensional Scaling of Symmetric Block Copolymer-Homopolymer Ternary Blends in Thin Films (2009) (56)
- The ability of corneal epithelial cells to recognize high aspect ratio nanostructures. (2010) (55)
- Directed Assembly of a Cylinder-Forming Diblock Copolymer: Topographic and Chemical Patterns (2010) (55)
- Simulations of theoretically informed coarse grain models of polymeric systems. (2010) (54)
- Cell behavior on lithographically defined nanostructured substrates (2003) (54)
- Combining advanced lithographic techniques and self-assembly of thin films of diblock copolymers to produce templates for nanofabrication (2000) (54)
- Decoupling Bulk Thermodynamics and Wetting Characteristics of Block Copolymer Thin Films. (2012) (53)
- Pattern transfer using poly(styrene-block-methyl methacrylate) copolymer films and reactive ion etching (2007) (53)
- Topographic modulation of the orientation and shape of cell nuclei and their influence on the measured elastic modulus of epithelial cells. (2011) (53)
- Nonlinear chiro-optical amplification by plasmonic nanolens arrays formed via directed assembly of gold nanoparticles. (2015) (52)
- All track directed self-assembly of block copolymers: process flow and origin of defects (2012) (52)
- Simulations of the Morphology of Cylinder-Forming Asymmetric Diblock Copolymer Thin Films on Nanopatterned Substrates (2003) (52)
- Morphologies of Linear Triblock Copolymers from Monte Carlo Simulations (2011) (52)
- Directed assembly of lamellae forming block copolymer thin films near the order-disorder transition. (2014) (52)
- 20nm Line/space patterns in HSQ fabricated by EUV interference lithography (2007) (52)
- Lateral Force Microscopy Study of the Frictional Behavior of Self-Assembled Monolayers of Octadecyltrichlorosilane on Silicon/Silicon Dioxide Immersed in n-Alcohols (2001) (50)
- Surfactant‐Assisted Orientation of Thin Diblock Copolymer Films (2008) (50)
- Janus Membranes via Diffusion‐Controlled Atomic Layer Deposition (2018) (49)
- Directed assembly of non-equilibrium ABA triblock copolymer morphologies on nanopatterned substrates. (2012) (49)
- Defect reduction and defect stability in IMEC's 14nm half-pitch chemo-epitaxy DSA flow (2014) (48)
- Proximity X-ray lithography using self-assembled alkylsiloxane films: Resolution and pattern transfer (2001) (48)
- Phase behavior of symmetric ternary block copolymer-homopolymer blends in thin films and on chemically patterned surfaces. (2006) (48)
- Topcoat Approaches for Directed Self-Assembly of Strongly Segregating Block Copolymer Thin Films (2013) (47)
- Three-Tone Chemical Patterns for Block Copolymer Directed Self-Assembly. (2016) (47)
- Orientation of Block Copolymer Resists on Interlayer Dielectrics with Tunable Surface Energy (2010) (46)
- Dimensional Scaling of Cylinders in Thin Films of Block Copolymer-Homopolymer Ternary Blends (2009) (46)
- Biophysical Cueing and Vascular Endothelial Cell Behavior (2010) (45)
- Block copolymer assembly on nanoscale patterns of polymer brushes formed by electrohydrodynamic jet printing. (2014) (45)
- Nanofabrication of broad-band antireflective surfaces using self-assembly of block copolymers. (2011) (45)
- Behavior of single nanoparticle/homopolymer chain in ordered structures of diblock copolymers (2003) (45)
- Hydrogen silsesquioxane as a high resolution negative-tone resist for extreme ultraviolet lithography (2005) (43)
- Scaling of Tg and reaction rate with film thickness in photoresist: A thermal probe study (2000) (43)
- Perpendicularly Aligned, Anion Conducting Nanochannels in Block Copolymer Electrolyte Films (2016) (43)
- Defect source analysis of directed self-assembly process (DSA of DSA) (2013) (43)
- Biochemically and topographically engineered poly(ethylene glycol) diacrylate hydrogels with biomimetic characteristics as substrates for human corneal epithelial cells. (2013) (42)
- Nano- and Microscale Holes Modulate Cell-Substrate Adhesion, Cytoskeletal Organization, and $-\beta 1$ Integrin Localization in Sv40 Human Corneal Epithelial Cells (2006) (42)
- Directed assembly of cylinder-forming block copolymers into patterned structures to fabricate arrays of spherical domains and nanoparticles (2007) (40)
- Photopatternable Imaging Layers for Controlling Block Copolymer Microdomain Orientation (39)
- Morphology of thin films of diblock copolymers on surfaces micropatterned with regions of different interfacial energy (2002) (39)
- Fabrication of polymeric substrates with well-defined nanometer-scale topography and tailored surface chemistry (2002) (39)
- Role of Defects in Ion Transport in Block Copolymer Electrolytes. (2019) (39)
- Hydrogels with well-defined peptide-hydrogel spacing and concentration: impact on epithelial cell behavior(). (2012) (38)
- Glass transition in thin supported polystyrene films probed by temperature-modulated ellipsometry in vacuum. (2010) (38)
- Nonbulk Complex Structures in Thin Films of Symmetric Block Copolymers on Chemically Nanopatterned Surfaces (2012) (38)
- Out-of-Plane Longitudinal Elastic Modulus of Supported Polymer Thin Films (2009) (38)
- Early responses of vascular endothelial cells to topographic cues. (2013) (38)
- Quantitative Three-Dimensional Characterization of Block Copolymer Directed Self-Assembly on Combined Chemical and Topographical Prepatterned Templates. (2017) (37)
- Density functional theory of molecular structure for thin diblock copolymer films on chemically heterogeneous surfaces (1999) (36)
- Mesoscale martensitic transformation in single crystals of topological defects (2017) (36)
- Lamellar Structures of Symmetric Diblock Copolymers: Comparisons between Lattice Monte Carlo Simulations and Self-Consistent Mean-Field Calculations (2002) (35)
- Pattern dimensions and feature shapes of ternary blends of block copolymer and low molecular weight homopolymers directed to assemble on chemically nanopatterned surfaces. (2011) (35)
- Characterization of Cylinder-Forming Block Copolymers Directed to Assemble on Spotted Chemical Patterns (2008) (34)
- Morphology of lamellae-forming block copolymer films between two orthogonal chemically nanopatterned striped surfaces. (2012) (34)
- Deterministic Construction of Plasmonic Heterostructures in Well‐Organized Arrays for Nanophotonic Materials (2015) (34)
- Directed Assembly of Block Copolymers in Thin to Thick Films (2013) (34)
- Hybrid nanodiamond-YIG systems for efficient quantum information processing and nanoscale sensing (2017) (34)
- Structural organization of the cytoskeleton in SV40 human corneal epithelial cells cultured on nano- and microscale grooves. (2008) (34)
- Fabrication of templates with rectangular bits on circular tracks by combining block copolymer directed self-assembly and nanoimprint lithography (2012) (33)
- Defect Annihilation Pathways in Directed Assembly of Lamellar Block Copolymer Thin Films. (2018) (32)
- New Insights into Sequential Infiltration Synthesis. (2015) (32)
- Control of Directed Self-Assembly in Block Polymers by Polymeric Topcoats (2014) (32)
- Interconnected ionic domains enhance conductivity in microphase separated block copolymer electrolytes (2017) (32)
- Highly selective immobilization of Au nanoparticles onto isolated and dense nanopatterns of poly(2-vinyl pyridine) brushes down to single-particle resolution. (2012) (31)
- Tunable assembly of gold nanoparticles on nanopatterned poly(ethylene glycol) brushes. (2013) (31)
- Selective MOCVD growth of single-crystal dense GaAs quantum dot array using cylinder-forming diblock copolymers (2006) (31)
- Chemical Modification of Self-Assembled Monolayers by Exposure to Soft X-rays in Air (2000) (31)
- Site‐Specific Placement of Au Nanoparticles on Chemical Nanopatterns Prepared by Molecular Transfer Printing Using Block‐Copolymer Films (2011) (29)
- The influence of substrate topography on the migration of corneal epithelial wound borders. (2013) (29)
- Self-Assembled Nanoparticle Arrays on Chemical Nanopatterns Prepared Using Block Copolymer Lithography. (2015) (29)
- The influence of biomimetic topographical features and the extracellular matrix peptide RGD on human corneal epithelial contact guidance. (2013) (28)
- Comparison of directed self-assembly integrations (2012) (28)
- Combinatorial generation and replication-directed assembly of complex and varied geometries with thin films of diblock copolymers. (2007) (27)
- Degree of Perfection and Pattern Uniformity in the Directed Assembly of Cylinder-Forming Block Copolymer on Chemically Patterned Surfaces (2012) (27)
- Influence of extracellular matrix proteins and substratum topography on corneal epithelial cell alignment and migration. (2013) (27)
- Microscale-Resolution Thermal Mapping Using a Flexible Platform of Patterned Quantum Sensors. (2018) (27)
- Stepped Silicon Surfaces as Templates for One-Dimensional Nanostructures† (2004) (27)
- Real-Time Atomic Force Microscopy Imaging of Block Copolymer Directed Self Assembly. (2017) (27)
- Defect mitigation and root cause studies in 14 nm half-pitch chemo-epitaxy directed self-assembly LiNe flow (2015) (27)
- Interrogation of Electrochemical Properties of Polymer Electrolyte Thin Films with Interdigitated Electrodes (2018) (26)
- Functionalization of reactive polymer multilayers with RGD and an antifouling motif: RGD density provides control over human corneal epithelial cell-substrate interactions. (2012) (26)
- Effects of Substratum Topography on Cell Behavior (2002) (26)
- Molecular transfer printing using block copolymers. (2009) (25)
- Collapse behavior of single layer 193- and 157-nm resists: use of surfactants in the rinse to realize the sub-130-nm nodes (2002) (25)
- Pattern collapse in high-aspect-ratio DUV and 193-nm resists (2000) (25)
- Pathways to Mesoporous Resin/Carbon Thin Films with Alternating Gyroid Morphology. (2017) (25)
- Characterization of the shape and line-edge roughness of polymer gratings with grazing incidence small-angle X-ray scattering and atomic force microscopy (2016) (24)
- High performance resist for EUV lithography (2005) (24)
- Defect source analysis of directed self-assembly process (2013) (24)
- Molecular Level Differences in Ionic Solvation and Transport Behavior in Ethylene Oxide-Based Homopolymer and Block Copolymer Electrolytes. (2021) (24)
- Morphological Reconstruction and Ordering in Films of Sphere-Forming Block Copolymers on Striped Chemically Patterned Surfaces (2008) (24)
- Frequency multiplication of lamellar phase block copolymers with grapho-epitaxy directed self-assembly sensitivity to prepattern (2012) (24)
- Vacuum ellipsometry as a method for probing glass transition in thin polymer films. (2008) (24)
- Symmetric Diblock Copolymers Confined by Two Nanopatterned Surfaces (2012) (24)
- Intrinsic Ion Transport Properties of Block Copolymer Electrolytes. (2020) (23)
- Ionic Liquids as Additives to Polystyrene- Block-Poly(Methyl Methacrylate) Enabling Directed Self-Assembly of Patterns with Sub-10 nm Features. (2018) (23)
- A Standard Addition Technique To Quantify Photoacid Generation in Chemically Amplified Photoresist (2001) (23)
- Block Cooligomers: A Generalized Approach to Controlling the Wetting Behavior of Block Copolymer Thin Films (2010) (23)
- The modulation of canine mesenchymal stem cells by nano-topographic cues. (2012) (22)
- Patterning of self-assembled monolayers with lateral dimensions of 0.15 μm using advanced lithography (1999) (22)
- Limited-supply non-Fickian diffusion in glassy polymers (1995) (22)
- Derivation of Multiple Covarying Material and Process Parameters Using Physics-Based Modeling of X-ray Data (2017) (22)
- Broadband Liquid Crystal Tunable Metasurfaces in the Visible: Liquid Crystal Inhomogeneities Across the Metasurface Parameter Space (2021) (22)
- Directed Self-Assembly of Colloidal Particles onto Nematic Liquid Crystalline Defects Engineered by Chemically Patterned Surfaces. (2017) (22)
- Sequential Infiltration Synthesis of Al2O3 in Polyethersulfone Membranes (2018) (22)
- Localization of multiple DNA sequences on nanopatterns. (2011) (22)
- Nuclear and cellular alignment of primary corneal epithelial cells on topography. (2013) (22)
- Directed self-assembly of block copolymer films on atomically-thin graphene chemical patterns (2016) (22)
- Synthesis of CO2-Based Block Copolymers via Chain Transfer Polymerization Using Macroinitiators: Activity, Blocking Efficiency, and Nanostructure (2018) (22)
- Mechanical properties of polymer nanostructures: measurements based on deformation in response to capillary forces (2007) (21)
- Nondestructive Probing of Mechanical Anisotropy in Polyimide Films on Nanoscale (2010) (21)
- Hierarchical Assembly of Plasmonic Nanoparticle Heterodimer Arrays with Tunable Sub-5 nm Nanogaps. (2019) (21)
- Perfection in Nucleation and Growth of Blue-Phase Single Crystals: Small Free-Energy Required to Self-Assemble at Specific Lattice Orientation. (2019) (21)
- Image collapse issues in photoresist (2001) (21)
- Geometric Control of Chemically Nano-patterned Substrates for Feature Multiplication Using Directed Self-Assembly of Block Copolymers (2012) (21)
- Process sensitivities in exemplary chemo-epitaxy directed self-assembly integration (2013) (21)
- Complex Relationship between Side-Chain Polarity, Conductivity, and Thermal Stability in Molecularly Doped Conjugated Polymers (2021) (20)
- The Multifunctional Role of Base Quenchers in Chemically Amplified Photoresists (2002) (20)
- Directed assembly of asymmetric ternary block copolymer-homopolymer blends using symmetric block copolymer into checkerboard trimming chemical pattern (2008) (20)
- Exploring the ultimate resolution of positive-tone chemically amplified resists: 26 nm dense lines using extreme ultraviolet interference lithography (2004) (19)
- All-Optical Cryogenic Thermometry Based on Nitrogen-Vacancy Centers in Nanodiamonds (2019) (19)
- Directed self-assembly of high-chi block copolymer for nano fabrication of bit patterned media via solvent annealing (2016) (19)
- Binary blends of diblock copolymers as an effective route to multiple length scales in perfect directed self-assembly of diblock copolymer thin films (2006) (19)
- Outgassing of photoresists in extreme ultraviolet lithography (2000) (19)
- Study of acid diffusion in resist near the glass transition temperature (1999) (19)
- The effect of chain density on the frictional behavior of surfaces modified with alkylsiloxanes and immersed in n-alcohols (2001) (18)
- Latent image formation: Nanoscale topography and calorimetric measurements in chemically amplified resists (1996) (18)
- Engineering the Kinetics of Directed Self-Assembly of Block Copolymers toward Fast and Defect-Free Assembly. (2018) (18)
- Directed self-assembly of nematic liquid crystals on chemically patterned surfaces: morphological states and transitions. (2016) (18)
- Propagation of viruses on micropatterned host cells. (2003) (18)
- Creating periodic local strain in monolayer graphene with nanopillars patterned by self-assembled block copolymer (2015) (18)
- Directed assembly of copolymer materials on patterned substrates: Balance of simple symmetries in complex structures (2006) (18)
- Rectification of EUV-patterned contact holes using directed self-assembly (2013) (17)
- A novel EUV exposure station for nanotechnology studies (2007) (17)
- Effect of Stereochemistry on Directed Self-Assembly of Poly(styrene-b-lactide) Films on Chemical Patterns. (2016) (17)
- Directed self-assembly of solvent-vapor-induced non-bulk block copolymer morphologies on nanopatterned substrates. (2016) (17)
- InAs nanowires grown by metal-organic vapor-phase epitaxy (MOVPE) employing PS/PMMA diblock copolymer nanopatterning. (2013) (17)
- Post-directed-self-assembly membrane fabrication for in situ analysis of block copolymer structures (2016) (17)
- Evaluation of the standard addition method to determine rate constants for acid generation in chemically amplified photoresist at 157 nm (2001) (16)
- Ultrathin and Conformal Initiated Chemical-Vapor-Deposited Layers of Systematically Varied Surface Energy for Controlling the Directed Self-Assembly of Block CoPolymers. (2018) (16)
- Measurement of the x-ray dose-dependent glass transition temperature of structured polymer films by x-ray diffraction (2007) (16)
- Controlled growth of InGaAs/InGaAsP quantum dots on InP substrates employing diblock copolymer lithography (2009) (16)
- Fidelity of micropatterned cell cultures. (2005) (16)
- Directed Self-Assembly of High χ Poly(styrene-b-(lactic acid-alt-glycolic acid)) Block Copolymers on Chemical Patterns via Thermal Annealing. (2018) (16)
- Impact of trench width roughness on the graphoepitaxial assembly of block copolymers (2008) (16)
- Self‐Assembly Behavior of an Oligothiophene‐Based Conjugated Liquid Crystal and Its Implication for Ionic Conductivity Characteristics (2018) (16)
- Ion Conduction in Microphase-Separated Block Copolymer Electrolytes (2017) (16)
- Anomalous transitions of DODAB using fast scanning liquid calorimetry (2011) (16)
- Boundary-directed epitaxy of block copolymers (2020) (15)
- Cross-sectional Imaging of Block Copolymer Thin Films on Chemically Patterned Surfaces (2010) (15)
- Mechanism and dynamics of block copolymer directed assembly with density multiplication on chemically patterned surfaces (2010) (15)
- Modification of a polystyrene brush layer by insertion of poly(methyl methacrylate) molecules (2009) (15)
- Self-Assembly of Block Copolymers on Lithographically Defined Nanopatterned Substrates (2007) (15)
- Structure Control of a π-Conjugated Oligothiophene-Based Liquid Crystal for Enhanced Mixed Ion/Electron Transport Characteristics. (2019) (15)
- Modulating the Kinetics of Nanoparticle Adsorption for Simple and High-Yield Fabrication of Plasmonic Heterostructures as SERS Substrates. (2017) (15)
- Fabrication of masters for nanoimprint, step and flash, and soft lithography using hydrogen silsesquioxane and x-ray lithography (2004) (15)
- Role of Molecular Architecture on Ion Transport in Ethylene oxide-Based Polymer Electrolytes (2021) (15)
- Imaging layers for the directed assembly of block copolymer films: Dependence of the physical and chemical properties of patterned polymer brushes on brush molecular weight (2007) (15)
- Graphoepitaxial assembly of asymmetric ternary blends of block copolymers and homopolymers (2010) (15)
- Photoresist and the photoresist/wafer interface with a local thermal probe (1998) (15)
- Assembly of nanocrystal arrays by block-copolymer-directed nucleation. (2009) (15)
- Practical implementation of order parameter calculation for directed assembly of block copolymer thin films (2009) (14)
- The use of surfactant in the rinse to improve collapse behavior of chemically amplified photoresists (2004) (14)
- Light-Activated Replication of Block Copolymer Fingerprint Patterns (2013) (14)
- Sculpted grain boundaries in soft crystals (2019) (14)
- The Solvent Distribution Effect on the Self-Assembly of Symmetric Triblock Copolymers during Solvent Vapor Annealing (2018) (14)
- Fabrication of chevron patterns for patterned media with block copolymer directed assembly (2011) (14)
- Surface Reconstruction Limited Conductivity in Block‐Copolymer Li Battery Electrolytes (2019) (14)
- Solubility and diffusion of polybutadiene in polystyrene at elevated temperatures (1993) (14)
- Synthesis and thin‐film orientation of poly(styrene‐block‐trimethylsilylisoprene) (2013) (14)
- Elucidating the Influence of Side-Chain Circular Distribution on the Crack Onset Strain and Hole Mobility of Near-Amorphous Indacenodithiophene Copolymers (2020) (13)
- Communication: SHG-detected circular dichroism imaging using orthogonal phase-locked laser pulses. (2015) (13)
- Defect reduction in epitaxial GaSb grown on nanopatterned GaAs substrates using full wafer block copolymer lithography (2009) (13)
- Nano- and Microscale Holes Modulate Cell-Substrate Adhesion, Cytoskeletal Organization, and Integrin Localization in Sv40 Human (2006) (13)
- Synthesis of Photoacid Generator-Containing Patternable Diblock Copolymers by Reversible Addition−Fragmentation Transfer Polymerization (2009) (13)
- Soft crystal martensites: An in situ resonant soft x-ray scattering study of a liquid crystal martensitic transformation (2020) (13)
- Mechanistic understanding of tungsten oxide in-plane nanostructure growth via sequential infiltration synthesis. (2018) (13)
- Microfabrication of two layer structures of electrically isolated wires using self-assembly to guide the deposition of insulating organic polymer (2000) (12)
- Cell sorting but not serum starvation is effective for SV40 human corneal epithelial cell cycle synchronization. (2006) (12)
- Molecular Transfer Printing of Block Copolymer Patterns over Large Areas with Conformal Layers (2015) (12)
- Defect mitigation and root cause studies in IMEC's 14nm half-pitch chemo-epitaxy DSA flow (2015) (12)
- High Throughput Grating Qualification for Rating Directed Self-Assembly Pattern Performance using Optical Metrology (2013) (12)
- Pixelated chemically amplified resists: Investigation of material structure on the spatial distribution of photoacids and line edge roughness (2007) (12)
- Applications of molecular modeling in nanolithography (1999) (12)
- The Influence of Additives on the Interfacial Width and Line Edge Roughness in Block Copolymer Lithography. (2020) (12)
- Plasmon-Mediated Two-Photon Photoluminescence-Detected Circular Dichroism in Gold Nanosphere Assemblies. (2016) (12)
- Engineering the anchoring behavior of nematic liquid crystals on a solid surface by varying the density of liquid crystalline polymer brushes. (2018) (12)
- Effect of Gas Pressure on the Solubility and Diffusion of Polybutadiene in Polystyrene (1994) (12)
- In situ metallization of patterned polymer brushes created by molecular transfer print and fill (2013) (12)
- Nanoscale selective growth and optical characteristics of quantum dots on III-V substrates prepared by diblock copolymer nanopatterning (2009) (12)
- Effect of photoacid generator concentration on sensitivity, photoacid generation, and deprotection of chemically amplified resists (2002) (11)
- Sharp Morphological Transitions from Nanoscale Mixed-Anchoring Patterns in Confined Nematic Liquid Crystals. (2017) (11)
- Interplay of Surface Energy and Bulk Thermodynamic Forces in Ordered Block Copolymer Droplets (2015) (11)
- Three Dimensional Assembly in Directed Self-assembly of Block Copolymers (2016) (11)
- Enhanced Reduction of Thermal Conductivity in Amorphous Silicon Nitride Containing Phononic Crystals Fabricated Using Directed Self-Assembly of Block Copolymers. (2020) (10)
- Optimizing self-consistent field theory block copolymer models with X-ray metrology. (2018) (10)
- Confinement and Processing Can Alter the Morphology and Periodicity of Bottlebrush Block Copolymers in Thin Films. (2020) (10)
- Readying Directed Self-Assembly for Patterning in Semi-Conductor Manufacturing (2013) (10)
- Ultimate suppression of thermal transport in amorphous silicon nitride by phononic nanostructure (2020) (10)
- Exploring the manufacturability of using block copolymers as resist materials in conjunction with advanced lithographic tools (2007) (10)
- Inspection of directed self-assembly defects (2014) (9)
- CO2‐Based Dual‐Tone Resists for Electron Beam Lithography (2020) (9)
- Demonstration of glass transition temperature shift in thin supported polystyrene films by internal reference method. (2013) (9)
- The One-Pot Directed Assembly of Cylinder-Forming Block Copolymer on Adjacent Chemical Patterns for Bimodal Patterning. (2017) (9)
- Scale-up of a Chemo-Epitaxy Flow for Feature Multiplication Using Directed Self- Assembly of Block-Copolymers (2013) (9)
- Characterizing Patterned Block Copolymer Thin Films with Soft X-rays. (2017) (9)
- Directed Self‐Assembly of Hierarchical Supramolecular Block Copolymer Thin Films on Chemical Patterns (2016) (9)
- Macrophase Separation of Blends of Diblock Copolymers in Thin Films (2015) (9)
- Temperature-Modulated Ellipsometry: A New Probe for Glass Transition in Thin Supported Polymer Films (2008) (9)
- Metrology of DSA process using TEM tomography (2015) (9)
- Directed self-assembly of ternary blends of block copolymer and homopolymers on chemical patterns (2013) (9)
- Ionic conductivity and counterion condensation in nanoconfined polycation and polyanion brushes prepared from block copolymer templates (2019) (9)
- In situ characterization of block copolymer ordering on chemically nanopatterned surfaces by time-resolved small angle x-ray scattering (2008) (8)
- Effect of Graft Molecular Weight and Density on the Mechanical Properties of Polystyrene-Grafted Cellulose Nanocrystal Films (2021) (8)
- Directed self-assembly process integration: Fin patterning approaches and challenges (2014) (8)
- Design, fabrication, and testing of microporous wicking structure (2008) (8)
- Shape control and density multiplication of cylinder-forming ternary block copolymer-homopolymer blend thin films on chemical patterns (2010) (8)
- Arrays of topographically and peptide-functionalized hydrogels for analysis of biomimetic extracellular matrix properties. (2012) (8)
- Orientation Change of Diblock Copolymer Thin Films by the Addition of Amphiphilic Surfactants: Effect of Film Thickness and Surfactant Concentration (2012) (8)
- Synthesis and evaluation of novel organoelement resists for EUV lithography (2003) (8)
- Self-assembling resists for nanolithography (2005) (8)
- Design of surface patterns with optimized thermodynamic driving forces for the directed self-assembly of block copolymers in lithographic applications (2017) (8)
- Nanofabrication of surface-enhanced Raman scattering device by an integrated block-copolymer and nanoimprint lithography method (2010) (8)
- Understanding Ion Mobility in P2VP/NMP+I– Polymer Electrolytes: A Combined Simulation and Experimental Study (2020) (8)
- Investigation of cross-linking poly(methyl methacrylate) as a guiding material in block copolymer directed self-assembly (2014) (8)
- Micromolar concentrations of base quenchers impact the apparent efficiency of photoacid generation in chemically amplified resists (2002) (7)
- Defect Annihilation in the Directed Self-Assembly of Block Copolymers in Films with Increasing Thickness (2019) (7)
- Fabrication of large-area, high-density Ni nanopillar arrays on GaAs substrates using diblock copolymer lithography and electrodeposition (2013) (7)
- Three-dimensional superlattice engineering with block copolymer epitaxy (2020) (7)
- Hybrid nanostructures of well-organized arrays of colloidal quantum dots and a self-assembled monolayer of gold nanoparticles for enhanced fluorescence (2016) (7)
- Nanothin film conductivity measurements reveal interfacial influence on ion transport in polymer electrolytes (2019) (7)
- Enhanced Ion Conductivity through Hydrated, Polyelectrolyte-Grafted Cellulose Nanocrystal Films (2021) (7)
- Fabrication of patterned-surface reactivity templates using physisorption of reactive species in solvent-imprinted nanocavities (2001) (6)
- Nanoscale through Substratum Topographic Cues Modulate Human Embryonic Stem Cell Self-Renewal (2009) (6)
- Directed assembly of block copolymers on lithographically defined surfaces (2010) (6)
- Grazing-incidence small angle x-ray scattering studies of nanoscale polymer gratings (2015) (6)
- Directed self-assembly of PS-b-PMMA with ionic liquid addition (2016) (6)
- Quasi-Block Copolymers Based on a General Polymeric Chain Stopper. (2016) (6)
- Organoelement resists for EUV lithography (2002) (6)
- Control of the critical dimensions and line edge roughness with pre-organized block copolymer pixelated photoresists (2009) (5)
- Stabilizing Dendritic Electrodeposition by Limiting Spatial Dimensions in Nanostructured Electrolytes (2020) (5)
- Characterization of outgassing for EUV technology (2004) (5)
- Nanocrystalline Oligo(ethylene sulfide)-b-poly(ethylene glycol) Micelles: Structure and Stability (2018) (5)
- Controlling domain orientation of liquid crystalline block copolymer in thin films through tuning mesogenic chemical structures (2017) (5)
- Combining double patterning with self-assembled block copolymer lamellae to fabricate 10.5 nm full-pitch line/space patterns (2019) (5)
- Imaging the Substrate/Film Interface of Thin Films of Diblock Copolymers on Chemically Patterned Surfaces (2002) (5)
- Using process monitor wafers to understand directed self-assembly defects (2013) (5)
- Photochemical Reactions for Replicating and Aligning Block Copolymer Thin Film Patterns (2014) (5)
- High throughput grating qualification of directed self-assembly patterns using optical metrology (2014) (5)
- Surface anchoring of nematic liquid crystal on swollen polymer brush studied by surface forces measurement. (2019) (5)
- Sub-10 nm Feature Sizes of Disordered Polystyrene-block-poly(methyl methacrylate) Copolymer Films Achieved by Ionic Liquid Additives with Selectively Distributed Charge Interactions (2020) (5)
- Progress in extreme ultraviolet interferometric lithography at the University of Wisconsin (2008) (5)
- Increasing Ionic Conductivity of Poly(ethylene oxide) by Reaction with Metallic Li (2021) (5)
- Graphene RF transistors with buried bottom gate (2013) (5)
- Kinetic approach to defect reduction in directed self-assembly (2019) (5)
- Ultrathin initiated chemical vapor deposition polymer interfacial energy control for directed self-assembly hole-shrink applications (2019) (5)
- Ion Specific, Thin Film Confinement Effects on Conductivity in Polymerized Ionic Liquids (2021) (4)
- Tuning the strength of chemical patterns for directed self-assembly of block copolymers (2014) (4)
- Fabrication of templates with rectangular bits on circular tracks by combining block copolymer directed self-assembly and nanoimprint lithography (2012) (4)
- In situ synthesis and direct immobilization of ssDNA on electron beam patterned hydrogen silsesquioxane (2009) (4)
- Side chain engineering control of mixed conduction in oligoethylene glycol-substituted polythiophenes (2021) (4)
- Staining Block Copolymers using Sequential Infiltration Synthesis for High Contrast Imaging and STEM tomography (2015) (4)
- EUV interferometric lithography for resist characterization (1999) (4)
- High resolution patterning in chemically amplified resists: the effect of film thickness (2001) (4)
- Size-Dependent Shape Evolution of Patterned Polymer Films Studied in Situ by Phase-Retrieval-Based Small-Angle X-ray Scattering (2012) (4)
- Role of solvation site segmental dynamics on ion transport in ethylene-oxide based side-chain polymer electrolytes (2021) (4)
- Substratum topography modulates proliferation of corneal epithelial cells (2004) (4)
- Efficiency of Photoacid Generators in Chemically Amplified Resists for 157nm Lithography (2002) (4)
- Optimized design of block copolymers with covarying properties for nanolithography (2022) (3)
- Useful protocol for evaluating subtle and important differences between photoresist formulations (2004) (3)
- The effects of geometry and chemistry of nanopatterned substrates on the directed self-assembly of block-copolymer melts (2015) (3)
- Differential Activation of the Small GTPase, Rho, in Corneal Epithelial Cells Plated on Nanopatterned and Smooth Substrates (2003) (3)
- Improved block copolymer domain dispersity on chemical patterns via homopolymer-blending and molecular transfer printing (2017) (3)
- Monte Carlo Simulations of a Coarse-Grain Model for Block Copolymer Systems (2008) (3)
- Combining block copolymer lithography with self-aligned double patterning to achieve 10.5 nm full-pitch line/space patterns. (2019) (3)
- Cellular Behavior on Basement Membrane Inspired Topographically Patterned Synthetic Matrices (2007) (3)
- Fabrication of chemical patterns from graphoepitaxially assembled block copolymer films by molecular transfer printing (2014) (3)
- Leveling of Polymer Grating Structures upon Heating: Dimension Dependence on the Nanoscale and the Effect of Antiplasticizers. (2018) (3)
- Directed Assembly of Cylinder-Forming Ternary Blend of Block Copolymer and Their Respective Homopolymers on Chemical Patterns with Density Multiplication of Features (2010) (3)
- Planar-localized surface plasmon resonance device by block-copolymer and nanoimprint lithography fabrication methods (2012) (3)
- Structural Changes during the Conversion Reaction of Tungsten Oxide Electrodes with Tailored, Mesoscale Porosity. (2022) (3)
- Impact of annealing temperature on DSA process: toward faster assembly kinetics (Conference Presentation) (2018) (3)
- The role of guide stripe chemistry in block copolymer directed self-assembly (2015) (3)
- Impact of BCP asymmetry on DSA patterning performance (2015) (3)
- A new method to characterize chemically and topographically nanopatterned surfaces. (2006) (3)
- Spacer patterning lithography as a new process to induce block copolymer alignment by chemo-epitaxy (2019) (3)
- Controlled growth of InGaAs/InGaAsP/InP Quantum Dots using diblock copolymer lithography and selective area MOCVD growth (2009) (2)
- Understanding Kinetics of Defect Annihilation in Chemoepitaxy-Directed Self-Assembly. (2021) (2)
- Water‐soluble top coats for orientation control of liquid crystal‐containing block copolymer films (2017) (2)
- Nucleation and growth of blue phase liquid crystals on chemically-patterned surfaces: a surface anchoring assisted blue phase correlation length (2021) (2)
- Thickness dependence of forming single crystal by liquid-crystalline blue phase on chemically patterned surface (2018) (2)
- Fluid Shear Induced Detachment Of SV-40 Corneal Epithelial Cells From Planar And Nano-structured Substrates (2002) (2)
- Ionic Dopant‐Induced Ordering Enhances the Thermoelectric Properties of a Polythiophene‐Based Block Copolymer (2021) (2)
- Mechanical properties of polymeric nanostructures fabricated through directed self-assembly of symmetric diblock and triblock copolymers (2012) (2)
- Utilization of metal-polymer interactions for self-aligned directed self-assembly of device relevant features (2018) (2)
- 1.129 – Engineering the Biophysical Properties of Basement Membranes into Biomaterials: Fabrication and Effects on Cell Behavior (2011) (2)
- Kinetics of defect annihilation in chemo-epitaxy directed self-assembly (2019) (2)
- Erratum: Aqueous-based photoresist drying using supercritical carbon dioxide to prevent pattern collapse [J. Vac. Sci. Technol. B 18, 3313 (2000)] (2001) (2)
- Ellipsometry-based combination of isothermal sorption-desorption measurement and temperature programmed desorption technique: A probe for interaction of thin polymer films with solvent vapor. (2018) (2)
- Directed self-assembly of triblock copolymers for sub-10 nm nanofabrication using polymeric additives (2018) (2)
- Engineering the biophysical properties of basement membranes into biomaterials: Fabrication and effects on cell behavior (2017) (2)
- Studying the effects of chemistry and geometry on DSA hole-shrink process in three-dimensions (2018) (2)
- Submicron polymer gratings : Optical diffraction and spontaneous brillouin scattering (2006) (2)
- Determining Structure and Thermodynamics of A-b-(B-r-C) Copolymers. (2023) (1)
- Nanolithographic Polymer Structures: Mechanical Properties (2003) (1)
- Using block-copolymer nanolithography as a tool to sensitively evaluate variation in chemical dry etching rates of semiconductor materials with sub-5 nm resolution (2021) (1)
- A Generalizable Approach to Direct the Self‐Assembly of Functional Blue‐Phase Liquid Crystals (2022) (1)
- Computer Simulation of the Mechanical Properties of Nanostructures of Polymer Glasses (2002) (1)
- EQUILIBRATION OF BLOCK COPOLYMER FILMS ON CHEMICALLY PATTERNED SURFACES (2008) (1)
- Structural Organization of the Cytoskeleton in SV40 Human Corneal Epithelial Cells Cultured on Nano- and Microscale Topography (2005) (1)
- Thermal Stability of π-Conjugated n-Ethylene-Glycol-Terminated Quaterthiophene Oligomers: A Computational and Experimental Study. (2020) (1)
- Ionic Liquid for Directed Self-Assembly of PS-b-PMMA (2016) (1)
- Buried Structure in Block Copolymer Films Revealed by Soft X-ray Reflectivity. (2021) (1)
- Substratum Topography Modulates Cell Shape, Orientation, Migration and SMA mRNA Expression of Rabbit Keratocytes and Myofibroblasts (2007) (1)
- Enhanced microphase separation of thin films of low molecular weight block copolymer by the addition of an ionic liquid. (2019) (1)
- Substratum Topography Modulates SMA Expression in Corneal Stromal Cells (2009) (1)
- Sequential Brush Grafting for Chemically and Dimensionally Tolerant Directed Self-Assembly of Block Copolymers (2022) (1)
- Quantum dot active regions based on diblock copolymer nanopatterning and selective MOCVD growth (2011) (1)
- Effects of micro-and nanoscale substrate topographies on the behavior of human corneal epithelial cells (2002) (1)
- Extreme Ultraviolet Interferometric Lithography: A Path to Nanopatterning (2008) (1)
- Orientation control of high-χ triblock copolymer for sub-10 nm patterning using fluorine-containing polymeric additives (2019) (1)
- Directed self-oriented self-assembly of block copolymers using chemically modified surfaces (2015) (1)
- Local thermal analysis of reaction and the glass transition in exposed resist (1999) (1)
- Image Based Metrology for Quantitative Analysis of Local Structural Similarity of Nanostructures (2007) (1)
- Studying the effects of chemistry and geometry on DSA hole-shrink process in three dimensions (2018) (1)
- Synthesis and Characterization of Block Copolymers for Nanolithography Based on Thiol‐Ene “Click” Functionalized Polystyrene‐Block‐Polybutadiene (2022) (1)
- Changes in the Molecular Orbitals during Photochemical Patterning of Polymers (2005) (0)
- Phase Behavior of Ternary Block Copolymer Homopolymer Blends in Thin Films on Chemically Nanopatterned Surfaces (2005) (0)
- Selective GaAs Quantum Dot Array Growth using Dielectric and AlGaAs Masks Pattern-Transferred from Diblock Copolymer (2007) (0)
- Registration and long-range ordering in block copolymer films on chemically nanopatterned substrates (2003) (0)
- Orientation Control of Diblock Copolymer Thin Films by the Addition of Amphiphilic Surfactants (2008) (0)
- Characterization of Martensitic Phase Transformations in Blue Phase Liquid Crystals Using Resonant Soft X-ray Scattering (2019) (0)
- Realizing the Potential of Micro-Phase Separated Block Copolymer Electrolytes: Ion Domain Connectivity Plays a Prominent Role in Ion Conduction (2016) (0)
- Highly specific placement of Au Nanoparticles on chemical brush patterns prepared by combination of top-down and block copolymer lithography (2011) (0)
- Square and Rectangular Arrays from Directed Assembly of Sphere-forming Diblock Copolymers in Thin Films (2010) (0)
- Sensitivity improved thermal infrared sensor cell applying the heat insulating phononic crystals (2021) (0)
- Nanopatterned quantum dot active region lasers on InP substrates (2011) (0)
- Bi-level micro- and nano-patterning of functional electronic oxides. (2010) (0)
- Transformation of the Boundary Conditions for Density Multiplication by Directed Assembly of Block Copolymer-Homopolymer Blends and Molecular Transfer Printing (2010) (0)
- Enabling Sub-10nm Lithography with Atomic Layer Deposition and Block Copolymer Self Assembly (2014) (0)
- Nanofabrication for Probing Ionic Conductivity Mechanisms in Thin-Film Polymer Electrolytes (2019) (0)
- Copolymer Assembly Density Multiplication and Improved Lithography by Directed Block (2014) (0)
- 2014 World Forum on Biology – Joint Meeting of the Society for In Vitro Biology and the Society for Cryobiology, May 31 - June 4, Savannah, Georgia (2014) (0)
- Time-Resolved SAXS Characterization of Block Copolymer Blends on Chemically Nanopatterned Surfaces (2009) (0)
- Biologic length scale topographic features modulate human corneal epithelial cell adhesion and migration (2004) (0)
- Surfactant Assisted Orientation of PS-b-PMMA Block Copolymer Thin Films (2007) (0)
- Directed self-assembly of colloidal particles onto the chemically anchoring patterned surface in a nematic liquid crystal (2016) (0)
- Stabilizing Monodomain in Blue Phase Liquid Crystal by Chemically Patterned Surfaces (2017) (0)
- Effects of Confinement on the Structure of Bottlebrush Polymers in Thin Films (2019) (0)
- Symmetric Diblock Copolymer Thin Films Confined Between Two Hard Surfaces: Simulations and Theory (2000) (0)
- Investigating the Morphology and Dynamics of Thin Films of Diblock Copolymers on Chemically Nanopatterned Substrates of Varying Interfacial Energy and Pattern Quality (2005) (0)
- Electron Beam Lithography: CO 2 ‐Based Dual‐Tone Resists for Electron Beam Lithography (Adv. Funct. Mater. 13/2021) (2021) (0)
- Enhanced etching resolution of self-assembled PS-b-PMMA block copolymer films by ionic liquid additives (2023) (0)
- Conditions for the directed assembly of thick block copolymer films on chemically nano-patterned surfaces (2009) (0)
- 3D characterization of block copolymer films for lithography (2016) (0)
- Constructing ion conducting, nanostructured block copolymer electrolytes through block copolymer self-assembly and block copolymer lithography (2017) (0)
- Efficient coherent driving of NV centers in a YIG-nanodiamond hybrid platform (2017) (0)
- (Industrial Electrochemistry and Electrochemical Engineering Division H. H. Dow Memorial Student Achievement Award Address) Anion Exchange and Bipolar Membranes for Electrochemical Energy Conversion and Storage (2020) (0)
- Directed Self-Assembly (2012) (0)
- The Impact Of Biomimetic Topographic Cues On Human Corneal Epithelial Cell Differentiation (2011) (0)
- Synthesis and Characterization of Amine-Epoxy-Functionalized Polystyrene-block-Poly(glycidyl methacrylate) to Manage Morphology and Covarying Properties for Self-Assembly (2023) (0)
- Fabrication of large-area arrays of hybrid nanostructures on polymer-derived chemically patterned surfaces (2014) (0)
- Macrophase Separation of Block Copolymer Blends in Thin Films (2012) (0)
- Lamellar and Non-bulk like Morphologies in Thin Films of Block Copolymer on Chemical Nanopatterned Surfaces (2009) (0)
- Kinetic Aspects of Defect Annihilation in Block Copolymer Thin Films on Patterned Substrates. (2015) (0)
- Directed Assembly of Asymmetric Ternary Block Copolymer-Homopolymer Blends Thin Films on Checkerboard Trimming Chemical Pattern (2008) (0)
- Understanding the morphology and dynamics of ordering of thin films of diblock copolymers on chemically heterogeneous surfaces (2000) (0)
- The Interaction Of Rho And Substratum Topography In Orientation Of Human Corneal Epithelial Cells (2002) (0)
- Nucleation and Growth of BPII Liquid Crystals on Chemically Patterned Surfaces: A Surface Anchoring Assisted BPII-Coherence Length (2020) (0)
- Nanoscale Topographic Cues Modulate Cell Behavior and Differentially Shape Gene and Protein Expression for Human Trabecular Meshwork Cells (2008) (0)
- Biomimetic surfaces at the nanoscale level shape gene expression of human trabecular meshwork cells (2008) (0)
- Acousto-microfluidic Control of Liquid Crystals (2023) (0)
- Blue-Phases on Nano-Patterned Surfaces (2017) (0)
- Self-Aligned Assembly of a Poly(2-vinylpyridine)-b-Polystyrene-b-Poly(2-vinylpyridine) Triblock Copolymer on Graphene Nanoribbons. (2021) (0)
- Three Dimensional Morphology of Lamellae-forming Block Copolymer Thin Films between Two Chemically Nanopatterned Surfaces (2012) (0)
- Flexible Graphene Transistors via Printing Transfer (2012) (0)
- Ion Transport in Microphase Separating Polymer Thin Films (2018) (0)
- Size induced glass transition in ultra-thin films and its impact in diffusive and elastic behavior. (2000) (0)
- The static and dynamic behaviors of the topological defects in a nematic liquid crystal reveal its material characteristics (2017) (0)
- Residual changes and thickness effects in glass-forming polymer thin films after solvent vapor annealing (2022) (0)
- A Generalized Method for the Preparation of Neutral Brushes from Homopolymer Mixtures (2008) (0)
- Human Corneal Epithelial Nuclei Align To Nantopography (2011) (0)
- Directed assembly of block copolymer containing materials on chemically nanopatterned substrates: a platform for two and three-dimensional nanofabrication (2006) (0)
- Solvent-Assisted Self-Assembly of Block Copolymer Films: A Simulation Approach (2014) (0)
- Three-Dimensional Characterization of Block Copolymers using Molecular Simulation and Small-Angle X-ray Scattering (2017) (0)
- Controlling the Spatial Dimensions of Nanostructured Electrolytes to Stabilize Dendritic Electrodeposition of Silver (2021) (0)
- Controlled Dimensions of Nanostructures in Asymmetric Ternary Blends of Block Copolymers and Homopolymers in Thin Films (2008) (0)
- Dimension-Dependent Mechanical Properties of Pure and Antiplasticized Polymer Nanostructures (2009) (0)
- Directed self-assembly (DSA)of block copolymer-based supramolecular materials on chemically patterned surfaces (2014) (0)
- Utilization of metal–polymer interactions for self-aligned directed self-assembly of device relevant features (2018) (0)
- This paper is published as part of Faraday Discussions volume 144: Multiscale Modelling of Soft Matter (2010) (0)
- Evolution of Line Edge Roughness during Block-Copolymer Nano-Patterning. (2010) (0)
- Diffusion of diluents in glassy polymers (1994) (0)
- Honeycomb Networks of Metal Oxides from Self-Assembling PS-PMMA Block Copolymers (2017) (0)
- Directed Patterning and Fabrication of Functional Nanoscale Electronic Oxides. (2011) (0)
- DNA-Directed Assembly of Gold Nanoparticle Heterodimer Arrays with Well-Controlled Sub-5 nm Gaps (2018) (0)
- Ion Conducting Conduits with a Tortuosity of One from One Electrode to Another: The Role of Domain Connectivity and Tortuosity on Ion Conductivity in Block Copolymer Electrolyte Thin Films (2016) (0)
- Establishing a sidewall image transfer chemo-epitaxial DSA process using 193 nm immersion lithography (2020) (0)
- SOLVENT ANNEALING OF POLY(STYRENE-b-tert- BUTYLACRYLATE) THIN FILMS: EFFECT OF CASTING AND ANNEALING SOLVENT ON MORPHOLOGY (2006) (0)
- Liquid-Liquid Interfaces and Grain Boundaries Engineering of Soft Crystals (2019) (0)
- Evaluating structure in thin block copolymer films with soft x-rays (Conference Presentation) (2017) (0)
- Ion-Transport Properties of Nanothin Film Dry Polymer Electrolytes (2019) (0)
- Subject Index Vol. 170, 2002 (2002) (0)
- Directed self-assembly in two and three dimensions (2018) (0)
- Imaging Layer Effect on Density Multiplication in the Directed Assembly of Block Copolymer Thin Films (2009) (0)
- Templated Self-Assembly of Asymmetric Ternary Blends of Block Copolymers and Homopolymers (2008) (0)
- Exploring the parameter space of broadband all-dielectric liquid crystal tunable metasurfaces in the visible (2021) (0)
- Single-Chain in Mean-Fied simulations for Block Copolymer/Nanoparticle Composites (2007) (0)
- Annihilation Kinetics of Dislocation Pairs in Directed Self-Assembly of Block Copolymer Thin Films (2017) (0)
- Directed self-assembly of ABA triblock copolymer on chemical contrast pattern for sub-10nm nanofabrication by solvent annealing (2014) (0)
- Advanced Materials and Techniques for Nanolithography Chairs (1999) (0)
- Material Directed Assembly of Block Copolymer Blends into Non-regular Device Oriented Structures (2005) (0)
- Chemical Patterns for Directed Self-assembly Blue Phase Liquid Crystals with Equilibrated Morophologies (2018) (0)
- Block Copolymer Templating for Formation of Quantum Dots and Lattice Mismatched Semiconductor Structures (2010) (0)
- Confinement Effect Emission from Infiltrated ZnO in PS-b-PMMA Nanostructures (2017) (0)
- The role of tortuosity on ion conduction in block copolymer electrolyte thin films (2016) (0)
- Quantifying Intrinsic Interfacial Transport Properties in Block Copolymer Electrolytes (2020) (0)
- Directed Self‐Assembly of Block Copolymer Films (2011) (0)
- Directed self-assembly of lamellae-forming block copolymer with density multiplication for high aspect ratio structures (2014) (0)
- New approach for producing chemical templates over large area by Molecular Transfer Printing (2014) (0)
- Engineering Block Copolymers To Achieve Equal Surface Free Energy and Tunable χN For Directed Self-Assembly Applications (2020) (0)
- Critical Percolation Threshold for Solvation Site Connectivity in Polymer Electrolytes Mixtures (2022) (0)
- Sidewall passivation and selective cell growth in silicon trenches (2002) (0)
- Mixed ionic/electronic conduction in a oligoethyleneglycol-terminated oligothiophene rod-coil oligomer (2018) (0)
- Integration of block copolymers into lithographic processes (2008) (0)
- Role of chain architecture and composition on dynamics and ionic solvation in polyether-based electrolytes (2020) (0)
- Enhancing commensurability using cylinder-forming block copolymer-homopolymer ternary blends on spotted chemical patterns (2010) (0)
- Using chemically patterns with different anchoring behavior to control the orientation of nematic liquid crystal (2015) (0)
- Block Copolymer Films I (2014) (0)
- Biophysical Cues Stabilize the Corneal Stromal Cell Phenotype (2010) (0)
- Control of Nanoparticle Distribution with Directed Assembly of Block Copolymer Films (2007) (0)
- Resist-Free Directed Self-Assembly Chemo-Epitaxy Approach for Line/Space Patterning (2020) (0)
- Status and challenges of directed self-assembly (2013) (0)
- The Importance of Being Inhomogeneous: Simulation Approaches for Liquid Crystal Optical Metasurfaces in the Visible (2019) (0)
- Measurement of the x-ray dose-dependent glass transition temperature of structured polymer films by x-ray diffraction (2019) (0)
- Ion Transport in 2D Nanostructured π-Conjugated Thieno[3,2-b]thiophene-Based Liquid Crystal. (2022) (0)
- Demonstration of Glass Transition Temperature Depression in Thin Supported Polystyrene Films Using Internal Standard (2011) (0)
- Rapid Directed Assembly of Block Copolymer Films on chemically patterned surfaces at Elevated Temperatures (2008) (0)
- Ionic Conduction through Block Copolymer Gyroidal Networks (2018) (0)
- Incorporation of Biophysical and Biochemical Cues Improve Transfection Rates in DNA Releasing Materials (2014) (0)
- Neutral Parameter Window for Perpendicularly Oriented Block Copolymer Resists Deposited on Organosilicate Substrates with Tunable Surface Energy (2009) (0)
- Ion Transport in Well-Aligned Block Copolymer Electrolytes (2019) (0)
- Topcoat approaches for directed-assembly of copolymer films with blocks exhibiting differences in surface energy (2013) (0)
- Fabrication of Three-Dimensional Nanostructures from Self-Assembling Block Copolymers on Two-Dimensional Chemically Patterned Templates with Mismatched Symmetry (2006) (0)
- Structure-function Properties of Microphase Separated Ion Conducting Block Copolymer Thin Films (2018) (0)
- Animal Contributed Papers (2017) (0)
- Evolution of directed and self-assembled structure in free standing and confined PS-b-PMMA thin films (2014) (0)
- Directed self-assembly of performance materials (2016) (0)
- Directing the Assembly of Patterns with Complex Geometries using Block Copolymers and Chemically Nanopatterned Substrates (2007) (0)
- Fabrication, patterning, and integration of functional nanoscale electronic oxides. (2010) (0)
- Selective growth and chracterization of InGaAs Quantum Dots on patterned InP substrates utilizaing a diblock copolymer template (2009) (0)
- Controlling the self-assembly of block copolymer materials in thin-films (2009) (0)
- Nanophotonic Materials: Deterministic Construction of Plasmonic Heterostructures in Well‐Organized Arrays for Nanophotonic Materials (Adv. Mater. 45/2015) (2015) (0)
- Monte Carlo simulations of a coarse-grain model for block-copolymer melts: method and application (2008) (0)
- Role of Water Molecules in Enabling Site Hopping and Vehicular Transport Mechanisms in Polynorbornene-Based Anion Exchange Membrane (2022) (0)
- Impact of stereocomplexation on the directed self-assembly poly (styrene-$b$-\textit{(rac)}-lactide) on chemically patterned surfaces (2014) (0)
- Defect structures in block copolymer thin films epitaxially assembled on chemically nanopatterned surfaces (2007) (0)
- Correction to “Enhanced Ion Conductivity through Hydrated, Polyelectrolyte-Grafted Cellulose Nanocrystal Films” (2022) (0)
- Assembly of block copolymer films between chemically patterned and chemically homogeneous surface (2012) (0)
- Block Copolymer Lithography (2005) (0)
- Deciphering the three-dimensional structure of block copolymers via sequential infiltration synthesis and scanning transmission electron tomography (2015) (0)
- ATOMISTIC SIMULATIONS OF POLYMER THIN FILMS : SURFACE TENSION AND PHYSICAL PROPERTIES (2001) (0)
- Local thermal analysis of thin polymer films: calorimetry or rheology? (2002) (0)
- Measuring the Mechanical Properties of Constituents of the Human Cornea by Atomic Force Microscopy (2008) (0)
- Spatially-controllable and uniform photochemical transfer printing of block copolymer nanopatterns (2017) (0)
- Using Nano-patterned Substrates to Induce Long-range Ordering in Diblock Copolymer Thin Films for Nano-lithography (2001) (0)
- Direct Observation of the BCC (100) Plane in Thin Films of Sphere-forming Diblock Copolymers (2010) (0)
- Directed assembly of diblock copolymers as a means of achieving functional structures (2010) (0)
- Advances in directed assembly: a themed collection (2017) (0)
- Temperature-Modulated Ellipsometry in Vacuum: A New Tool for Probing Glass Transition in Thin Supported Polymer Films (2009) (0)
- Guided Self-assembly of Cylinder-forming Asymmetric Diblock Copolymers on Nano-patterned Substrates Produced by Interferometric Lithography (2002) (0)
- Fabrication and Characterization of Chemically and Topographically Patterned Substrates (2003) (0)
- Measuring the Compliance Profile of the Human Cornea by Atomic Force Microscopy (2009) (0)
- Sequential Infiltration Synthesis of Al2O3 in Polyethersulfone Membranes (2018) (0)
- Size reduction of electrolessly deposited copper features: Microcontact printing of catalysts on oriented polymers followed by thermal shrinkage (1996) (0)
- Uni-Directional Orientation of Ionic Domains in Block Copolymer Electrolytes for Anisotropic Ion Transport (2015) (0)
- Pattern interpolation in thin films of lamellar, symmetric copolymers on nano-patterned substrates (2009) (0)
- Photoresist Outgassing in EUV (2000) (0)
- Patterning of Nano-Objects on PS-$b$-PMMA Thin Films by Selective Swelling (2008) (0)
- Contents Vol. 170, 2002 (2002) (0)
- Dynamics of Defect Annihilation in Directed Self-Assembly of Block Copolymers Using Optical Inspection of Fully Patterned Wafers (2014) (0)
- Directed Assembly of Nanoparticle Arrays using Block Copolymer Templates (2006) (0)
- Nanopatterned poly(ethylene glycol) brushes: A route for highly tunable assembly of Au nanoparticles (2012) (0)
- Consequences of Surface Neutralization in Thin Film Block Copolymers (2013) (0)
- Synthesis and characterization of polymer brushes that can be cleaved from the substrate by photo-generated acid (2003) (0)
- Photo-Activated Replication of Thin Film Block Copolymer Patterns (2013) (0)
- Directed Self-Assembly of Block Copolymers (2020) (0)
- Nanofabrication of quantum dots on InP by in-situ etching and selective growth (2012) (0)
- Directed assembly of block copolymers on chemically nanopatterned substrates: enabling science for ultra high resolution lithography (2009) (0)
- Submicron Topographically Patterned 3D Substrates Enhance Directional Axon Outgrowth of Dorsal Root Ganglia Cultured Ex Vivo (2022) (0)
- Design of block copolymers for directed self-assembly (2021) (0)
- Instrumentation origin of the glass transition temperature depression in thin films measured by ellipsometry (2014) (0)
- A Novel Random Flexible Nanoscale Topography for Cell Culture (2005) (0)
- Chemical Phase and Interface Effects in Solution-Based Fabrication of Continuous and Nanopatterned Thin Film Ferroelectrics. (2012) (0)
- Block Copolymer Droplets: The Interplay of Surface Energy and Ordering (2014) (0)
- Roadmap Roadmap on optical metamaterials (2016) (0)
- Effect of Boundary Conditions on the Directed Self-Assembly of Block Copolymer on Chemical Patterned Surfaces (2011) (0)
- Measuring polymer properties at the nanoscale using capillary forces and lithographically defined structures (2004) (0)
- Characterization of Martensitic Phase Transformations in Blue Phase Liquid Crystals Using In-situ Small Angle Scattering (2019) (0)
- Long-range spin wave mediated control of defect qubits in nanodiamonds (2017) (0)
- Erratum to “Cell sorting but not serum starvation is effective for SV40 human corneal epithelial cell cycle synchronization” [Exp. Eye Res. 83 (2006) 61–68] (2006) (0)
- Directed Assembly of Block Copolymers to Pattern Isolated Features and Essential Integrated Circuit Geometries (2007) (0)
- Nanostructured anion conducting block copolymer electrolyte thin films (2016) (0)
This paper list is powered by the following services:
Other Resources About Paul Nealey
What Schools Are Affiliated With Paul Nealey?
Paul Nealey is affiliated with the following schools: