Robert Nemanich
Researcher
Robert Nemanich's AcademicInfluence.com Rankings
Download Badge
Physics
Robert Nemanich's Degrees
- PhD Physics University of California, Berkeley
- Masters Physics University of California, Berkeley
- Bachelors Physics University of California, Berkeley
Why Is Robert Nemanich Influential?
(Suggest an Edit or Addition)According to Wikipedia, Robert John Nemanich is an American physicist. Nemanich attended the Northern Illinois University, where he obtained bachelor's and master's degrees in physics, then continued studying the subject at the University of Chicago. After completing his doctorate in 1977, Nemanich began his teaching career at North Carolina State University, then moved to Arizona State University. He was elected a fellow of the American Physical Society in 1993 "[f]or his contributions to the application of Raman spectroscopy to the study of atomic structure is semiconducting thin films and interfaces." In 2016, Arizona State University awarded Nemanich a Regents' Professorship.
Robert Nemanich's Published Works
Published Works
- First- and second-order Raman scattering from finite-size crystals of graphite (1979) (1777)
- Multi-walled carbon nanotube interactions with human epidermal keratinocytes. (2005) (737)
- Ultrawide‐Bandgap Semiconductors: Research Opportunities and Challenges (2018) (655)
- Structural interpretation of the vibrational spectra of a-Si: H alloys (1979) (638)
- Raman scattering characterization of carbon bonding in diamond and diamondlike thin films (1988) (626)
- Raman spectroscopy of diamond and doped diamond (2004) (500)
- Light scattering study of boron nitride microcrystals (1981) (464)
- Gold Schottky contacts on oxygen plasma-treated, n-type ZnO(0001̄) (2003) (367)
- Piezoelectric Measurements with Atomic Force Microscopy (1998) (276)
- Cleaning of AlN and GaN surfaces (1998) (255)
- Direct studies of domain switching dynamics in thin film ferroelectric capacitors (2005) (218)
- Infrared active optical vibrations of graphite (1977) (213)
- Mechanical stress effect on imprint behavior of integrated ferroelectric capacitors (2003) (209)
- The structure and property characteristics of amorphous/nanocrystalline silicon produced by ball milling (1995) (207)
- Domain growth kinetics in lithium niobate single crystals studied by piezoresponse force microscopy (2005) (205)
- Defects in plasma-deposited a-Si: H (1979) (204)
- Morphology and phase stability of TiSi2 on Si (1992) (202)
- Observation of a negative electron affinity for heteroepitaxial AlN on α(6H)-SiC(0001) (1994) (201)
- Thermally induced effects in evaporated chalcogenide films. I. Structure (1978) (199)
- Electronic surface and dielectric interface states on GaN and AlGaN (2013) (172)
- Hydrogen bonding in silicon-hydrogen alloys (1978) (165)
- Surface characterization. (1989) (164)
- Observation of a negative electron affinity for boron nitride (1995) (156)
- The IBEX-Lo Sensor (2009) (153)
- Raman analysis of phonon lifetimes in AlN and GaN of wurtzite structure (1999) (146)
- Low-frequency inelastic light scattering from chalcogenide glasses and alloys (1977) (146)
- Superhard phase composed of single-wall carbon nanotubes (2002) (136)
- Raman and photoluminescence analysis of stress state and impurity distribution in diamond thin films (1995) (134)
- The surface oxidation potential of human neuromelanin reveals a spherical architecture with a pheomelanin core and a eumelanin surface (2006) (131)
- Argon and hydrogen plasma interactions on diamond (111) surfaces: Electronic states and structure (1993) (128)
- Structural, microstructural, and electrical properties of gold films and Schottky contacts on remote plasma-cleaned, n-type ZnO{0001} surfaces (2005) (127)
- Cleaning of GaN surfaces (1996) (122)
- Surfactant effects on carbon nanotube interactions with human keratinocytes. (2005) (121)
- The origin of the broadband luminescence and the effect of nitrogen doping on the optical properties of diamond films (1994) (120)
- Interference enhanced Raman scattering from very thin absorbing films (1980) (120)
- Thermionic electron emission from low work-function phosphorus doped diamond films (2009) (116)
- Interference-enhanced raman scattering of very thin titanium and titanium oxide films (1980) (111)
- Growth of GaN and Al0.2Ga0.8N on Patterened Substrates via Organometallic Vapor Phase Epitaxy (1997) (109)
- First evidence for vibrational excitations of large atomic clusters in amorphous semiconductors (1977) (107)
- Polarization-dependent electron affinity of LiNbO3 surfaces (2004) (104)
- Optical absorption spectra of surface or interface states in hydrogenated amorphous silicon (1983) (103)
- Preparation and characterization of atomically clean, stoichiometric surfaces of n- and p-type GaN(0001) (2003) (102)
- Fabrication of metallic nanowires on a ferroelectric template via photochemical reaction (2006) (99)
- Electrical and chemical characterization of the Schottky barrier formed between clean n-GaN(0001) surfaces and Pt, Au, and Ag (2003) (97)
- Electron affinity and Schottky barrier height of metal–diamond (100), (111), and (110) interfaces (1998) (97)
- CVD diamond—Research, applications, and challenges (2014) (95)
- Role of thin Fe catalyst in the synthesis of double- and single-wall carbon nanotubes via microwave chemical vapor deposition (2004) (91)
- Comparison study of catalyst nanoparticle formation and carbon nanotube growth: Support effect (2007) (89)
- Observation of an anomolously sharp feature in the 2nd order Raman spectrum of graphite (1977) (88)
- Measurement of the band offsets of SiO2 on clean n- and p-type GaN(0001) (2003) (87)
- Boron doping of diamond thin films (1989) (86)
- Three-dimensional high-resolution reconstruction of polarization in ferroelectric capacitors by piezoresponse force microscopy (2004) (84)
- Microphotoluminescence and Raman scattering study of defect formation in diamond films (1993) (83)
- Schottky barrier height and negative electron affinity of titanium on (111) diamond (1992) (83)
- Raman scattering from intercalated donor compounds of graphite (1977) (81)
- Nanoscale observation of photoinduced domain pinning and investigation of imprint behavior in ferroelectric thin films (2002) (80)
- Optical probes of the lattice dynamics of graphite (1977) (80)
- Piezoresponse force microscopy for polarity imaging of GaN (2002) (80)
- Field emission properties of nitrogen-doped diamond films (1999) (80)
- Light scattering from magnetic excitations in orthoferrites (1982) (80)
- Band offset measurements of the Si3N4/GaN (0001) interface (2003) (79)
- Thermally induced effects in evaporated chalcogenide films. II. Optical absorption (1978) (79)
- Thin films: Interfaces and phenomena (1986) (79)
- Negative electron affinity surfaces of aluminum nitride and diamond (1996) (77)
- In situ cleaning and characterization of oxygen- and zinc-terminated, n-type, ZnO{0001} surfaces (2004) (77)
- Run-In Behavior of Nanocrystalline Diamond Coatings Studied By in Situ Tribometry (2008) (77)
- Heteroepitaxy on silicon (1988) (74)
- Band offset measurements of the GaN (0001)/HfO2 interface (2003) (73)
- Coordination dependent vibrational properties of amorphous semiconductors alloys (1975) (73)
- Oxidation Potentials of Human Eumelanosomes and Pheomelanosomes¶ (2005) (73)
- Raman analysis of the E1 and A1 quasi-longitudinal optical and quasi-transverse optical modes in wurtzite AlN (1999) (72)
- Dependence of (0001) GaN/AlN valence band discontinuity on growth temperature and surface reconstruction (1998) (72)
- Photoionization Thresholds of Melanins Obtained from Free Electron Laser–Photoelectron Emission Microscopy, Femtosecond Transient Absorption Spectroscopy and Electron Paramagnetic Resonance Measurements of Oxygen Photoconsumption (2006) (71)
- Surface cleaning, electronic states and electron affinity of diamond (100), (111) and (110) surfaces (1998) (71)
- Sublimation growth and characterization of bulk aluminum nitride single crystals (1997) (69)
- Thin films of aluminum nitride and aluminum gallium nitride for cold cathode applications (1997) (68)
- Stability of C54 titanium germanosilicide on a silicon-germanium alloy substrate (1995) (67)
- Spatial inhomogeneity of imprint and switching behavior in ferroelectric capacitors (2003) (66)
- Raman analysis of the configurational disorder in AlxGa1−xN films (1997) (65)
- Raman Spectroscopy for Characterization of Hard, Wide-Bandgap Semiconductors: Diamond, GaN, GaAlN, AlN, BN (1996) (65)
- Conduction band-edge States associated with the removal of d-state degeneracies by the Jahn-Teller effect (2005) (64)
- Interference enhanced Raman scattering study of the interfacial reaction of Pd on a‐Si:H (1981) (64)
- Process-dependent band structure changes of transition-metal (Ti,Zr,Hf) oxides on Si (100) (2004) (63)
- Phase transformations during microcutting tests on silicon (1996) (63)
- Comparative band alignment of plasma-enhanced atomic layer deposited high-k dielectrics on gallium nitride (2012) (61)
- Photoinduced Ag deposition on periodically poled lithium niobate: Wavelength and polarization screening dependence (2011) (60)
- Surface band bending and band alignment of plasma enhanced atomic layer deposited dielectrics on Ga- and N-face gallium nitride (2014) (60)
- UV photoemission study of heteroepitaxial AlGaN films grown on 6H-SiC (1996) (59)
- Electron emission characteristics of GaN pyramid arrays grown via organometallic vapor phase epitaxy (1998) (59)
- Experimental studies of the formation process and morphologies of carbon nanotubes with bamboo mode structures (2004) (58)
- Microstrain in laser‐crystallized silicon islands on fused silica (1982) (55)
- Initial reactions and silicide formation of titanium on silicon studied by Raman spectroscopy (1985) (55)
- Emission characterization from nitrogen-doped diamond with respect to energy conversion (2006) (54)
- Physical adsorption on ferroelectric surfaces: photoinduced and thermal effects (2008) (52)
- Electronic states at the interface of Ti–Si oxide on Si(100) (2002) (51)
- A Free Electron Laser-Photoemission Electron Microscope System (FEL-PEEM) (1998) (51)
- Effects of boron doping on the surface morphology and structural imperfections of diamond films (1992) (50)
- Electronic properties of the Zr–ZrO2–SiO2–Si(100) gate stack structure (2006) (50)
- A novel approach for determining the effective tunneling mass of electrons in HfO 2 and other high- K alternative gate dielectrics for advanced CMOS devices (2004) (50)
- Wet Chemical Processing of (0001)Si 6H‐SiC Hydrophobic and Hydrophilic Surfaces (1999) (49)
- AlN bulk crystals grown on SiC seeds (2005) (49)
- Silicide formation and stability of Ti SiGe and Co SiGe (1995) (49)
- Ultraviolet Raman study of A1(LO) and E2 phonons in InxGa1-xN alloys (2001) (48)
- Vapor deposition of diamond thin films on various substrates (1990) (48)
- Phase formation during reactive molybdenum-silicide formation (1990) (47)
- Photo-induced Ag deposition on periodically poled lithium niobate: Concentration and intensity dependence (2011) (47)
- Attractive migration and coalescence: a significant process in the coarsening of TiSi2 islands on the Si(111) surface. (2003) (46)
- Silicide formation in Pd-a-Si:H Schottky barriers (1981) (46)
- Structural and electronic properties of boron nitride thin films containing silicon (1998) (46)
- Temperature Dependence of Single-Asperity Diamond−Diamond Friction Elucidated Using AFM and MD Simulations (2008) (45)
- Piezoresponse force microscopy for piezoelectric measurements of III-nitride materials (2002) (45)
- Fibrinogen adsorption onto microwave plasma chemical vapor deposited diamond films (2004) (45)
- Spatial variation of ferroelectric properties in Pb(Zr0.3, Ti0.7)O3 thin films studied by atomic force microscopy (2000) (44)
- Growth and Characterization of Diamond Thin Films (1991) (43)
- Energy dependence of the carrier mobility-lifetime product in hydrogenated amorphous silicon (1983) (43)
- Analysis of the reverse I-V characteristics of diamond-based PIN diodes (2017) (43)
- Polarization Effects of GaN and AlGaN: Polarization Bound Charge, Band Bending, and Electronic Surface States (2014) (42)
- Applications of Free-Electron Lasers in the Biological and Material Sciences¶ (2005) (42)
- Angle‐resolved photoemission of diamond (111) and (100) surfaces; negative electron affinity and band structure measurements (1994) (42)
- Enhanced low-temperature thermionic field emission from surface-treated N-doped diamond films (2002) (42)
- Low temperature onset for thermionic emitters based on nitrogen incorporated UNCD films (2009) (41)
- Enhanced thermionic energy conversion and thermionic emission from doped diamond films through methane exposure (2011) (41)
- Chemical vapor deposition of diamond films from water vapor rf-plasma discharges (1992) (41)
- Raman scattering characterization of titanium silicide formation (1989) (41)
- Atomic force microscopy-based experimental setup for studying domain switching dynamics in ferroelectric capacitors (2005) (41)
- Scanning probe investigation of surface charge and surface potential of GaN-based heterostructures (2005) (40)
- Schottky barrier amorphous-crystalline interface formation (1983) (40)
- Morphology of TiSi2 and ZrSi2 on Si(100) and (111) surfaces (1994) (40)
- Electron emission measurements from CVD diamond surfaces (1996) (40)
- Interface instabilities and electronic properties of ZrO2 on silicon (100) (2004) (39)
- Theory of space charge limited regime of thermionic energy converter with negative electron affinity emitter (2009) (39)
- Fixed-Gap Tunnel Junction for Reading DNA Nucleotides (2014) (39)
- Interfacial reactions between Au and hydrogenated amorphous Si (1982) (39)
- Micro-Raman study of electronic properties of inversion domains in GaN-based lateral polarity heterostructures (2003) (39)
- Pd growth and subsequent Schottky barrier formation on chemical vapor cleaned p-type GaN surfaces (2002) (38)
- Optical characterization of wide band gap amorphous semiconductors (a-Si:C:H): Effect of hydrogen dilution (2001) (38)
- PHOTOLUMINESCENCE FROM MECHANICALLY MILLED SI AND SIO2 POWDERS (1997) (38)
- Combined visible light photo-emission and low temperature thermionic emission from nitrogen doped diamond films (2011) (38)
- Gas source molecular beam epitaxy of scandium nitride on silicon carbide and gallium nitride surfaces (2014) (37)
- Thermionic field emission from nanocrystalline diamond-coated silicon tip arrays (2005) (36)
- Spectroscopic evidence for bonding coordination defects in amorphous as (1978) (36)
- Thermionic Electron Emission from Nitrogen Doped Homoepitaxial Diamond with Respect to Energy Conversion (特集 環境) -- (省エネ・熱マネ) (2010) (36)
- On the thermionic emission from nitrogen-doped diamond films with respect to energy conversion (2004) (36)
- Negative electron affinity effects on H plasma exposed diamond (100) surfaces (1995) (36)
- Human iridal stroma melanosomes of varying pheomelanin contents possess a common eumelanic outer surface. (2009) (36)
- Photoluminescence and recombination mechanisms in GaN/Al0.2Ga0.8N superlattice (2000) (35)
- High negative ion yield from light molecule scattering (2005) (35)
- Photoelectron emission microscopy observation of inversion domain boundaries of GaN-based lateral polarity heterostructures (2003) (35)
- Dependence of the C49–C54 TiSi2 phase transition temperature on film thickness and Si substrate orientation (1997) (35)
- Current–voltage and imaging of TiSi2 islands on Si(001) surfaces using conductive-tip atomic force microscopy (2002) (34)
- Reactions of thin‐film titanium on silicon studied by Raman spectroscopy (1985) (34)
- Investigation of the mechanism of polarization switching in ferroelectric capacitors by three- dimensional piezoresponse force microscopy (2005) (34)
- X-ray photoelectron spectroscopy analysis of GaN/(0001)AlN and AlN/(0001)GaN growth mechanisms (1999) (34)
- Observation of surface modification and nucleation during deposition of diamond on silicon by scanning tunneling microscopy (1991) (33)
- Investigation of the effect of the total pressure and methane concentration on the growth rate and quality of diamond thin films grown by MPCVD (2006) (33)
- Characterization of a slot antenna microwave plasma source for hydrogen plasma cleaning (1995) (32)
- Single electron tunneling of nanoscale TiSi2 islands on Si (2002) (32)
- Electron emission properties of crystalline diamond and III-nitride surfaces (1998) (32)
- Thermionic Energy Conversion in the Twenty-first Century: Advances and Opportunities for Space and Terrestrial Applications (2017) (32)
- Electron emission from metal-diamond (100), (111) and (110) interfaces (1998) (31)
- Growth and characterization of GaN single crystals (2000) (30)
- X-ray and Raman analyses of GaN produced by ultrahigh-rate magnetron sputter epitaxy (2002) (30)
- High-pressure phase transformation of silicon nitride (2003) (30)
- Photo electron emission microscopy of polarity-patterned materials (2005) (30)
- Hollow to bamboolike internal structure transition observed in carbon nanotube films (2005) (29)
- Substrate-diamond interface considerations for enhanced thermionic electron emission from nitrogen doped diamond films (2012) (29)
- Thermally enhanced photoinduced electron emission from nitrogen-doped diamond films on silicon substrates (2014) (29)
- Thermochemical stability of silicon–oxygen–carbon alloy thin films: A model system for chemical and structural relaxation at SiC–SiO2 interfaces (1999) (29)
- Effect of composition on phase formation and morphology in Ti-Si1-xGex solid phase reactions (1995) (29)
- Valence band discontinuity, surface reconstruction, and chemistry of (0001), (0001̄), and (11̄00) 2H–AlN/6H–SiC interfaces (1999) (28)
- Film thickness effects in the Ti–Si1−xGex solid phase reaction (1995) (28)
- Considerations for a high-performance thermionic energy conversion device based on a negative electron affinity emitter (2007) (27)
- Negative) Electron Affinity of AlN and AlGaN Alloys (1995) (27)
- A 4.5 μm PIN diamond diode for detecting slow neutrons (2018) (27)
- Conducting Atomic Force Microscopy Studies of Nanoscale Cobalt Silicide Schottky Barriers on Si(111) and Si(100) (2010) (26)
- Electronic Conductance Resonance in non-Redox Proteins. (2020) (26)
- Domain Size Determination in Diamond Thin Films (1989) (26)
- Effect of surface roughness and H-termination chemistry on diamond's semiconducting surface conductance (2017) (26)
- High Voltage Diodes in Diamond Using (100)- and (111)- Substrates (2017) (26)
- Electron-spin-resonance study of boron-doped amorphous Si x Ge 1-x : H alloys (1984) (26)
- Diamond & Related Materials (2015) (26)
- Stability and dynamics of Pt-Si liquid microdroplets on Si(001) (2004) (26)
- Conversion surfaces for neutral particle imaging detectors (2006) (26)
- R&D of diamond films in the Frontier Carbon Technology Project and related topics (2003) (26)
- Spectroscopic studies of metal high‐k dielectrics: transition metal oxides and silicates, and complex rare earth/transition metal oxides (2004) (26)
- Surface morphology of TiSi2 on silicon (1990) (26)
- Remote H2/N2 plasma processes for simultaneous preparation of low-k interlayer dielectric and interconnect copper surfaces (2012) (25)
- Thermal stability of TiO2, ZrO2, or HfO2 on Si(100) by photoelectron emission microscopy (2006) (25)
- Hydrogen desorption kinetics and band bending for 6H–SiC(0 0 0 1) surfaces (2009) (24)
- Spatial distribution of electron emission sites for sulfur doped and intrinsic nanocrystalline diamond films (2003) (24)
- Aligned, coexisting liquid and solid regions in laser-annealed Si (1983) (23)
- Chemical, electrical, and structural properties of Ni/Au contacts on chemical vapor cleaned p-type GaN (2002) (23)
- Photoionization threshold of eumelanosomes determined using uv free electron laser-photoelectron emission microscopy (2004) (23)
- Microstructural and Optical Characterization of GaN Films Grown by PECVD on (0001) Sapphire Substrates (1989) (23)
- Effect of surface hydrogen on metal‐diamond interface properties (1993) (23)
- Properties of interfaces of diamond (1993) (23)
- Raman Scattering Spectroscopy and Analyses of III-V Nitride-Based Materials (2000) (22)
- Role of the substrate strain in the sheet resistance stability of NiSi deposited on Si(100) (1999) (22)
- X-ray absorption spectra for transition metal high-κ dielectrics: Final state differences for intra- and inter-atomic transitions (2004) (22)
- Phase Formations in Co/Si, Co/Ge, and Co/Si1−xGex by Solid Phase Reactions (1993) (22)
- Configurations of a chemically ordered continuous random network to describe the structure of GeSe2 glass (1983) (22)
- X-ray photoelectron diffraction from (3×3) and (√3×√3)R 30° (0001)Si 6H–SiC surfaces (1998) (22)
- Characterization of copper-diamond (100), (111), and (110) interfaces: Electron affinity and Schottky barrier (1998) (22)
- ELECTRICAL AND STRUCTURAL PROPERTIES OF ZIRCONIUM GERMANOSILICIDE FORMED BY A BILAYER SOLID STATE REACTION OF ZR WITH STRAINED SI1-XGEX ALLOYS (1997) (22)
- High Temperature Rectifying Contacts Using Heteroepitaxial Ni Films on Semiconducting Diamond (1991) (21)
- Phonons in III–V nitrides: Confined phonons and interface phonons (2001) (21)
- Sulfur doped nanocrystalline diamond films as field enhancement based thermionic emitters and their role in energy conversion (2005) (21)
- Initial reactions at the interface of Pt and amorphous silicon (1983) (21)
- Correlation of morphology and electrical properties of nanoscale TiSi2 epitaxial islands on Si (001) (1997) (21)
- Imaging electron emission from diamond film surfaces: N-doped diamond vs. nanostructured diamond (2001) (21)
- Valence band discontinuity of the (0001) 2H-GaN / (111) 3C-SiC interface (1999) (21)
- Film thickness effects in the CoSi{sub 1{minus}x}Ge{sub x} solid phase reaction (1998) (21)
- Shape stability of TiSi2 islands on Si (111) (2004) (21)
- Influence of strain, surface diffusion and Ostwald ripening on the evolution of nanostructures for erbium on Si(001) (2003) (21)
- Electronic structure of transition metal high-k dielectrics: interfacial band offset energies for microelectronic devices (2003) (20)
- Characterization of plasma-enhanced atomic layer deposition of Al2O3 using dimethylaluminum isopropoxide (2014) (20)
- Growth of Bulk AlN and GaN Single Crystals by Sublimation (1996) (20)
- Raman scattering from solid silicon at the melting temperature (1984) (20)
- Imaging temperature-dependent field emission from carbon nanotube films: Single versus multiwalled (2005) (19)
- The absolute luminescence quantum efficiency in hydrogenated amorphous silicon (1983) (19)
- Fibrous structures on diamond and carbon surfaces formed by hydrogen plasma under direct-current bias and field electron-emission properties (2003) (19)
- Germanium segregation in the Co/SiGe/Si(001) thin film system (1999) (19)
- Surface topography and nucleation of chemical vapor deposition diamond films on silicon by scanning tunneling microscopy (1991) (19)
- Morphology of Si(100) surfaces exposed to a remote H plasma (1995) (18)
- Ultrafast recombination and trapping in amorphous silicon (1989) (18)
- Chemical Vapor Cleaning of 6H‐SiC Surfaces (1999) (18)
- Quantitative analysis of a-Si1−xCx : H thin films by vibrational spectroscopy and nuclear methods (2001) (18)
- Effect of nitrogen incorporation on electron emission from chemical vapor deposited diamond (1999) (18)
- Hydrogen Plasma Removal of Post‐RIE Residue for Backend Processing (1999) (18)
- Al2O3 and SiO2 Atomic Layer Deposition Layers on ZnO Photoanodes and Degradation Mechanisms. (2017) (18)
- Direct correlation of surface morphology with electron emission sites for intrinsic nanocrystalline diamond films (2004) (17)
- Characterization of cobalt-diamond (100) interfaces: electron affinity and Schottky barrier (1996) (17)
- Electrical conductivity and photoluminescence of diamond films grown by downstream microwave plasma CVD (1992) (17)
- Confined phonons and phonon-mode properties of III–V nitrides with wurtzite crystal structure (1999) (17)
- Ex Situ and in Situ Methods for Oxide and Carbon Removal from AlN and GaN Surfaces (1995) (17)
- Chemical and semiconducting properties of NO2-activated H-terminated diamond (2018) (17)
- Band diagram for low-k/Cu interconnects: The starting point for understanding back-end-of-line (BEOL) electrical reliability (2016) (16)
- Heteroepitaxial growth and characterization of GaAs on silicon‐on‐sapphire and sapphire substrates (1989) (16)
- SURFACE TOPOGRAPHY OF LASER ANNEALED SILICON (1982) (16)
- Age-dependent Photoionization Thresholds of Melanosomes and Lipofuscin Isolated from Human Retinal Pigment Epithelium Cells† (2006) (16)
- Metal-induced crystallization of hydrogenated amorphous Si films (1983) (16)
- Nucleation and Morphology of TiSi 2 on Si (1992) (16)
- In Situ Remote H‐Plasma Cleaning of Patterned Si ‐ SiO2 Surfaces (1994) (16)
- Interface kinetics at metal contacts on a-Si: H (1983) (16)
- INITIAL PHASE FORMATION AT THE INTERFACE OF Ni, Pd, OR Pt AND Si. (1983) (16)
- Characterization of hydrogen etched 6H–SiC(0001) substrates and subsequently grown AlN films (2003) (15)
- XPS measurement of the SiC/AlN band-offset at the (0001) interface (1995) (15)
- Demonstration of Diamond-Based Schottky p-i-n Diode With Blocking Voltage > 500 V (2016) (15)
- Surface electronic states of low-temperature H-plasma cleaned Si(100) (1991) (15)
- Growth and field emission properties of small diameter carbon nanotube films (2005) (15)
- Light scattering from correlated ion fluctuations in ionic conductors (1979) (15)
- Temperature dependent simulation of diamond depleted Schottky PIN diodes (2016) (15)
- Electron emission properties of Si field emitter arrays coated with nanocrystalline diamond from fullerene precursors (1997) (14)
- Increased field-emission site density from regrown carbon nanotube films (2005) (14)
- Using negative electron affinity diamond emitters to mitigate space charge in vacuum thermionic energy conversion devices (2006) (14)
- Growth of epitaxial CoSi2 on SiGe(001) (1999) (14)
- Scanning tunneling microscopy and spectroscopy of pn junctions formed by ion implantation (1991) (14)
- Characterization of metal-diamond interfaces: Electron affinity and Schottky barrier height (1997) (14)
- Deposition of μc-Si and μc-SiC thin films by remote plasma-enhanced chemical-vapor deposition (1991) (14)
- Advances in Thermionic Energy Conversion through Single-Crystal n-Type Diamond (2017) (14)
- Valence and conduction band alignment at ScN interfaces with 3C-SiC (111) and 2H-GaN (0001) (2014) (13)
- Raman Spectroscopy for Semiconductor Thin Film Analysis (1986) (13)
- In situ photoelectron spectroscopic characterization of c-BN films deposited via plasma enhanced chemical vapor deposition employing fluorine chemistry (2015) (13)
- Kinetics of Ga and In desorption from (7 × 7) Si(1 1 1) and (3 × 3) 6H-SiC(0 0 0 1) surfaces (2008) (13)
- Spatial correlation of photo-induced and thermionic electron emission from low work function diamond films (2013) (13)
- Photon energy dependence of contrast in photoelectron emission microscopy of Si devices (2001) (13)
- Comparison of silicon, nickel, and nickel silicide (Ni3Si) as substrates for epitaxial diamond growth (1995) (13)
- Dry Ex Situ Cleaning Processes for ( 0001 ) Si 6H‐SiC Surfaces (1999) (13)
- Stable, low-resistance, 1.5 to 3.5 kΩ sq−1, diamond surface conduction with a mixed metal-oxide protective film (2020) (12)
- Epitaxial Cu contacts on semiconducting diamond (1994) (12)
- Raman Analysis Of The Composite Structures In Diamond Thin Films (1989) (12)
- Analysis of a nonorthogonal pattern of misfit dislocation arrays in SiGe epitaxy on high-index Si substrates (2004) (12)
- Strain of laser annealed silicon surfaces (1982) (12)
- Transmission electron microscopy and vibrational spectroscopy studies of undoped and doped Si,H and Si,C:H films (1992) (12)
- Modifying the chemistry of graphene with substrate selection: A study of gold nanoparticle formation (2015) (12)
- Thickness dependence of the reactions at the interface of Pd and Si〈111〉 (1985) (12)
- Structural studies of amorphous semiconducting thin films using interference enhanced raman scattering (1980) (12)
- Raman scattering from microcrystalline Si films: Considerations of composite structures with different optical absorption properties (1989) (12)
- Photoemission investigation of the Schottky barrier at the Sc/3C‐SiC (111) interface (2015) (12)
- Field enhanced thermionic electron emission from sulfur doped nanocrystalline diamond films (2005) (12)
- Phase stabilities and surface morphologies of (Ti1−xZrx)Si2 thin films on Si(100) (1995) (12)
- Electrical and photoelectrical characterization of undoped and S-doped nanocrystalline diamond films (2008) (11)
- Photo and field electron emission microscopy, from sulfur doped nanocrystalline diamond films (2006) (11)
- Al2O3 dielectric layers on H-terminated diamond: Controlling surface conductivity (2017) (11)
- Selective area regrowth and doping for vertical gallium nitride power devices: Materials challenges and recent progress (2021) (11)
- Structure and stability of cobalt-silicon-germanium thin films (1997) (11)
- Band offsets of epitaxial cubic boron nitride deposited on polycrystalline diamond via plasma-enhanced chemical vapor deposition (2017) (11)
- Field penetration and its contribution to field enhanced thermionic electron emission from nanocrystalline diamond films (2006) (11)
- Formation of cobalt disilicide films on (3×3)6H-SiC(0001) (2001) (11)
- Raman-brillouin light scattering determination of the structural correlation range in ges2 glass☆ (1977) (10)
- Titanium silicide islands on atomically clean Si"100…: Identifying single electron tunneling effects (2010) (10)
- Band alignment of vanadium oxide as an interlayer in a hafnium oxide-silicon gate stack structure (2012) (10)
- Influence of dry and wet cleaning on the properties of rapid thermal grown and deposited gate dielectrics (1993) (10)
- Low frequency Raman scattering in chalcogenide glasses (1983) (10)
- Electrical, structural and microstructural characteristics of as-deposited and annealed Pt and Au contacts on chemical-vapor-cleaned GaN thin films (2002) (10)
- Cleaning of pyrolytic hexagonal boron nitride surfaces (2015) (10)
- Selective Growth of GaN and Al0.2Ga0.8N on GaN/AlN/6H-SiC (0001) Multilayer Substrates Via Organometallic Vapor-Phase Epitaxy (1996) (10)
- Schottky barrier height and electron affinity of titanium on AlN (2000) (10)
- Deposition and characterization of amorphous and micro-crystalline Si,C alloy thin films by a remote plasma-enhanced chemical-vapor deposition process : RPECVD (1991) (10)
- The effect of Schottky barrier lowering and nonplanar emitter geometry on the performance of a thermionic energy converter (2006) (10)
- Raman scattering of tetrahedrally-bonded amorphous carbon deposited at oblique angles (1999) (10)
- Lattice dynamics of the layered compounds InI and InBr (1982) (10)
- Ring-shaped field emission patterns from carbon nanotube films (2011) (10)
- Synchrotron x-ray studies of vitreous SiO{sub 2} over Si(001). II. Crystalline contribution (2005) (10)
- Titanium silicide contacts on semiconducting diamond substrates (1991) (10)
- Determination of Minority Carrier Lifetime of Holes in Diamond p-i-n Diodes Using Reverse Recovery Method (2018) (10)
- Imaging electron emission from diamond and III-V nitride surfaces with photo-electron emission microscopy (1999) (10)
- Desorption and sublimation kinetics for fluorinated aluminum nitride surfaces (2014) (9)
- Mössbauer study of the ferromagnetic behavior of chromium-rich Fe-Cr alloys (1977) (9)
- Measurement of field emission from nitrogen-doped diamond films (2000) (9)
- Plasma Surface Interactions and Surface Properties for Remote H-Plasma Cleaning of Si(100) (1993) (9)
- Structure and growth of the interface of Pd on a-Si: H (1981) (9)
- Formation of Microcrystalline Silicon film by RMS Process (1989) (9)
- Band alignment of zinc oxide as a channel layer in a gate stack structure grown by plasma enhanced atomic layer deposition (2012) (9)
- SYNCHROTRON X-RAY STUDIES OF VITREOUS SIO2 OVER SI(001). I. ANISOTROPIC GLASS CONTRIBUTION (2005) (9)
- The impact of interfacial Si contamination on GaN-on-GaN regrowth for high power vertical devices (2021) (9)
- Dynamics of Vapor-phase Organophosphates on Silicon and OTS (2007) (8)
- P-i-n diodes enabled by homoepitaxially grown phosphorus doped diamond with breakdown electric field >1.25 MV/cm (2015) (8)
- Electronic structure of CePd3 from resonant photoemission and optical reflectivity spectra (1982) (8)
- Diamond Electronics - Fundamentals to Applications II (2008) (8)
- Performance of 5-μm PIN diamond diodes as thermal neutron detectors (2020) (8)
- Inexpensive high-speed dentist drill light chopper and its use in rejecting luminescence background from Raman spectra. (1976) (8)
- NICE: an instrument for direct mass spectrometric measurement of interstellar neutral gas (2005) (8)
- Surface Morphologies and Interfaces of TiSi 2 Formed from UHV Deposited Ti on Si (1989) (8)
- Process and Surface Characterization of Hydrogen Plasma Cleaning of Si(100) (1990) (8)
- Measurement of the effective piezoelectric constant of nitride thin films and heterostructures using scanning force microscopy (2001) (8)
- In situ reactivation of low-temperature thermionic electron emission from nitrogen doped diamond films by hydrogen exposure (2014) (8)
- Structure and defects in the amorphous Si:As:H system (1980) (8)
- Enhanced tunneling in stacked gate dielectrics with ultra-thin HfO2 (ZrO2) layers sandwiched between thicker SiO2 layers (2004) (7)
- Photoemission of the SiO2–SiC heterointerface (2000) (7)
- Neutralizing the polarization effect of diamond diode detectors using periodic forward bias pulses (2019) (7)
- Semiconductor Nanotechnology: Advances in Information and Energy Processing and Storage (2018) (7)
- Neuromelanins Isolated from Different Regions of the Human Brain Exhibit a Common Surface Photoionization Threshold (2009) (7)
- Interface and interlayer barrier effects on photo-induced electron emission from low work function diamond films (2014) (7)
- Band alignment at AlN/Si (111) and (001) interfaces (2015) (7)
- Strain in Graded Thickness GaAs/Si Heteroepitaxial Structures Grown with a Buffer Layer (1988) (7)
- Interface Morphology, Nucleation and Island Formation of Tisi 2 on Si(111). (1990) (7)
- Band alignment at the CdTe/InSb (001) heterointerface (2018) (7)
- Determination of excess phosphorus in low‐temperature GaP grown by gas source molecular beam epitaxy (1994) (7)
- Initial Nucleation and the Effects on Epitaxial Silicide Formation (1985) (7)
- Preparation and characterization of atomically clean, stoichiometric surfaces of AIN(0001) (2005) (7)
- Single molecule measurements with photoelectron emission microscopy (2008) (7)
- Diamond negative electron affinity surfaces, structures and devices (1995) (7)
- Electron affinity of cubic boron nitride terminated with vanadium oxide (2015) (7)
- Assessment of GaAs heteroepitaxial films grown on silicon‐on‐sapphire upgraded by double solid phase epitaxy (1989) (7)
- Heteroepitaxial Growih and Characterization of Titanium Films on Alpha (6H) Silicon Carbide (1991) (7)
- Hydrogen desorption from hydrogen fluoride and remote hydrogen plasma cleaned silicon carbide (0001) surfaces (2015) (6)
- Structural and electrical properties of (Ti0.9Zr0.1)Si2 thin films on Si(111) (1994) (6)
- Excess noise in high-current diamond diodes (2021) (6)
- Hydrogen evolution from strained SixGe1−x(100)2×1:H surfaces (1996) (6)
- Negative Electron Affinity Effects And Schottky Barrier Height Measurements Of Metals On Diamond (100) Surfaces (1995) (6)
- Schottky Barrier Height Analysis of Diamond SPIND Using High Temperature Operation up to 873 K (2020) (6)
- III-Nitride, SiC, and Diamond Materials for Electronic Devices : symposium held April, 1996, San Francisco, California, U.S.A. (1996) (6)
- Deposition of Amorphous and Microcrystalline Si,C Alloy Thin Films by a Remote Plasma-Enhanced Chemical-Vapor Deposition Process (1991) (6)
- Effects of a Ta interlayer on the phase transition of TiSi2 on Si(111) (2000) (6)
- RF Characterization of Diamond Schottky p-i-n Diodes for Receiver Protector Applications (2020) (6)
- Photo-electron emission and atomic force microscopies of the hydrogen etched 6H-SiC(0 0 0 1) surface and the initial growth of GaN and AlN (2005) (6)
- AlGaN/GaN metal–insulator–semiconductor high electron mobility transistors (MISHEMTs) using plasma deposited BN as gate dielectric (2021) (6)
- Thermal stability of Ti, Pt, and Ru interfacial layers between seedless copper and a tantalum diffusion barrier (2013) (6)
- Comparison of the Interface and Surface Morphologies of Zirconium and Titanium Silicides on Silicon (1992) (6)
- Fluorescence quenching effects of nanocrystalline diamond surfaces (2009) (6)
- Chemical surface preparation, passivation, and cleaning for semiconductor growth and processing : symposium held April 27-29, 1992, San Francisco, California, U.S.A. (1992) (6)
- The Surface Oxidation Potential of Melanosomes Measured by Free Electron Laser‐Photoelectron Emission Microscopy (2007) (6)
- Diamond Schottky p-i-n diodes for high power RF receiver protectors (2021) (5)
- Direct bonding of GaN and SiC; a novel technique for electronic device fabrication (2004) (5)
- Raman analysis of electron-phonon interactions in GaN films (1997) (5)
- Demonstration and Analysis of Ultrahigh Forward Current Density Diamond Diodes (2022) (5)
- Wafer Bonding of Silicon Carbide and Gallium Nitride (2001) (5)
- Si(100) Surface Preparation by In-Situ or in-Vacuo Exposure to Remotely Plasma-Generated Atomic Hydrogen: Applications to Deposited SiO 2 and Epitaxial Growth of Si (1990) (5)
- Cu film thermal stability on plasma cleaned polycrystalline Ru (2012) (5)
- Al2O3 Insertion Layer for Improved PEALD SiO2/(Al)GaN Interfaces (2018) (5)
- Raman Scattering Study of Interface Reactions of Co/SiGe (1993) (5)
- Electronic Properties of GaN (0001) – Dielectric Interfaces (2004) (5)
- Growth and Characterization of Heteroepitaxial Nickel Films on Diamond Substrates (1990) (5)
- Raman scattering from hydrogenated amorphous silicon (1983) (5)
- Microstructures and domain size effects in diamond films characterized by Raman spectroscopy (1991) (5)
- Hydrogen desorption kinetics for aqueous hydrogen fluoride and remote hydrogen plasma processed silicon (001) surfaces (2015) (5)
- The characterization of strain, impurity content, and crush strength of synthetic diamond crystals (1997) (5)
- An optimized process for fabrication of SrBi2Ta2O9 thin films using a novel chemical solution deposition technique (1999) (5)
- Electron emission microscopy of nano-crystal graphitic films as high current density electron sources (2006) (5)
- Electrical contact considerations for diamond electron emission diodes (2020) (5)
- Reactive interface formation—Pt/Si〈111〉: Nucleation and morphology (1987) (5)
- Low temperature growth of high-k Hf–La oxides by remote-plasma atomic layer deposition: Morphology, stoichiometry, and dielectric properties (2012) (5)
- Secondary electron emission of chemical-vapor-deposited diamond by impact of slow H + , D + , H 2 + , C + , O + , and O 2 + ions (2005) (5)
- Publisher’s Note: “Thermal stability of TiO2, ZrO2, or HfO2 on Si(100) by photoelectron emission microscopy” [J. Appl. Phys. 99, 023519 (2006)] (2006) (4)
- X-Ray Absorption Studies of Titanium Silicide Formation at the Interface of Ti Deposited on Si (1989) (4)
- Applications of Free‐Electron Lasers in the Biological and Material Sciences ¶ (2005) (4)
- Summary Abstract: Metal–amorphous Si interfaces: Structural and electrical properties (1983) (4)
- Thermionic Converters Based on Nanostructured Carbon Materials (2006) (4)
- Comparison of electron affinity and Schottky barrier height of zirconium and copper–diamond interfaces (1997) (4)
- Conduction band-edge d-states in high-k dielectrics due to Jahn–Teller term splittings (2005) (4)
- Titanium Germanosilicide: Phase Formation, Segregation, and Morphology (1993) (4)
- Diamond photochemistry with visible light (2019) (4)
- Chemical Vapor Deposition of Diamond Films Using Water:Alcohol:Organic-Acid Solutions (1992) (4)
- III-Nitride, SiC and Diamond Materials for Electronic Devices. Symposium Held April 8-12 1996, San Francisco, California, U.S.A. Volume 423. (1996) (4)
- Nongeometric field enhancement in semiconducting cold cathodes and in metal–insulator–semiconductor structures (2000) (4)
- Epitaxial Growth and Stability of C49 TiSi 2 ON Si(111). (1990) (4)
- Low Temperature Hydrogen Plasma Cleaning Processes of Si (100), Ge (100), and Si x Ge 1−x (100) (1991) (4)
- c Silicon Thin Films Deposited by Remote Plasma Enhanced Chemical Vapor Deposition Process (1990) (4)
- Band alignment of a HfO2-VO2-HfO2 confined well structure on silicon (2014) (4)
- Co-deposition of cobalt disilicide on silicon–germanium thin films (1998) (4)
- Thermionic and field electron emission devices from diamond and carbon nanostructures (2010) (4)
- Morphology of strained and relaxed SiGe layers grown on high-index Si substrates (2010) (4)
- Coarsening Dynamics of Nanoscale Ti-Silicide Islands on Si Surfaces (2007) (4)
- The Initial Stages of Silicide Epitaxy - Nucleation and Morphology (1987) (4)
- PIEZOELECTRIC MEASUREMENTS USING AN ATOMIC FORCE MICROSCOPE (1999) (4)
- Growth of epitaxial CoSi2 on 6H-SiC(0001)Si (2001) (4)
- Molecular Beam Epitaxial Growth and Characterization of GaAs on Sapphire and Silicon-on-Sapphire Substrates (1988) (4)
- Electrical Properties of B Doped CVD Grown Polycrystalline Diamond Films (1990) (3)
- Materials Research Society Symposia Proceedings. Volume 54. Thin Films - Interfaces and Phenomena Held in Boston, Massachusetts on 2-6 December 1985, (1986) (3)
- Comparison of Surface Cleaning Processes for Diamond C(001) (1994) (3)
- Surface band bending and interface alignment of plasma-enhanced atomic layer deposited SiO2 on AlxGa1-xN (2017) (3)
- Correlated Electrical and Microstructural Studies of Recrystallized Silicon Thin Films on Bulk Glass Substrates (1981) (3)
- Surface Residue Island Nucleation in Anhydrous HF/Alcohol Vapor Processing of Si Surfaces (2000) (3)
- Surface and interface morphology of small islands of TiSi2 and ZrSi2 on (001) silicon (1992) (3)
- XAFS Study of Some Titanium Silicon and Germanium Compounds (1993) (3)
- Characterization of electron emitting surfaces of diamond and III-V nitrides (1998) (3)
- Aligned, Coexisting Liquid and Solid Regions in Pulsed and CW Laser Annealing of Si (1982) (3)
- Radiative proton capture study of the giant dipole resonance in $sup 55$ $sup 57$Co (1974) (3)
- Self-organized nanoscale Ge dots and dashes on SiGe/Si superlattices (2005) (3)
- Thermionic and Photon-Enhanced Emission from CVD Diamond: Influence of Nanostructure, Doping, and Substrate (2014) (3)
- Raman Scattering from Microcrystalline Films: Considerations of Composite Structures with Different Optical Absorption Properties (1989) (3)
- Investigation of Titanium Germanide Formation by Raman Scattering and X-Ray Absorption Spectroscopy (1991) (3)
- EXAFS and XRD studies of phase formations of Co in reactions with SiGe alloys (1995) (3)
- Heteroepitaxial C x Si l−X /Si(100) Metastable Alloys (1990) (3)
- Studies of the coupling of final d*-states in mixed Hf and Ti oxides (HfO2)x(TiOx)1-x and other complex oxides (2005) (3)
- Solid-State and Vacuum Thermionic Energy Conversion (2005) (3)
- EXAFS Study of the Initial Interface Region Formed by Thin Zirconium and Titanium Films on Silicon(111) (1993) (3)
- Cleaning diamond surfaces via oxygen plasma inhibits the formation of a TiC interface (2022) (2)
- The effect of substitutional nitrogen incorporation on electron emission from CVD diamond (1998) (2)
- Thickness Dependence of Epitaxial TiSi 2 on Si(111). (1990) (2)
- Removal of fluorine from a Si (100) surface by a remote RF hydrogen plasma (1995) (2)
- Nitride-Based Thin-Film Cold Cathode Emitters (1996) (2)
- A Study of Surface and Subsurface Properties of Si (100) After Hydrogen ion-Beam Exposure (1993) (2)
- Electron Emission from CVD-Diamond Cold Cathodes (1998) (2)
- UV-FEL photoelectron emission microscopy of the dynamics of nanostructures on silicon surfaces (2001) (2)
- Ohmic Contacts to GaN (2006) (2)
- UV Raman Scattering Analysis of Indented and Machined 6H-SiC and β-Si 3 N 4 Surfaces (2004) (2)
- Plasma-Surface Interaction Limits for Remote H-Plasma Cleaning of Si(100) (1992) (2)
- Luminescence above the Tauc gap in a-Si:H (1989) (2)
- Plasma enhanced atomic layer deposition and atomic layer etching of gallium oxide using trimethylgallium (2022) (2)
- X-ray Absorption Study of the Reaction of Zirconium Thin Films on Silicon(111) (1993) (2)
- Local structural studies of (Ti1−xZrx)Si2 thin films on Si(111) (1995) (2)
- Micro-Raman mapping and analysis of indentation-induced phase transformations in germanium (2004) (2)
- High Pressure Phase Transformation and Ductility in Diamond Turned Single Crystal Silicon (2004) (2)
- Cobalt silicide formation on 6H silicon carbide. (1999) (2)
- Oxidation Potentials of Human Eumelanosomes and Pheomelanosomes ¶ (2005) (2)
- Raman analysis and field emission study of ion beam etched diamond films (1999) (2)
- Summary Abstract: Two‐stage process for silicide formation at metal–silicon interfaces (1984) (2)
- Electrical Characterization of Epitaxial Titanium Contacts to Alpha (6H) Silicone Carbide (1992) (2)
- Electron Emission Properties of Diamond and III-V Nitrides (1998) (2)
- Photo induced electron emission from nitrogen doped diamond films on silicon (2013) (2)
- UV laser induced changes to morphological, optical and electrical properties of conductive nanocrystalline diamond films (2015) (2)
- Analysis of Ti-Silicide Formation with a Thin Ta Interlayer on Si (100) (2002) (2)
- Chapter 11 Schottky Barriers on a-Si: H (1984) (2)
- Enhanced tunneling in stacked gate dielectrics with ultra-thin HfO (2004) (2)
- Materials and Processes for High k Gate Stacks: Results from the FEP Transition Center (2006) (2)
- Interface Reactions of Titanium on Single Crystal and Thin Film Diamond Analyzed by UV Photoemission Spectroscopy (1991) (2)
- Characterization of zirconium-diamond interfaces (1996) (2)
- External charge compensation in etched gallium nitride measured by x-ray photoelectron spectroscopy (2022) (2)
- Selected-area homoepitaxial growth and overgrowth on Si patterned diamond substrates (1991) (2)
- Doped diamond thin film electron sources for thermionic energy conversion (2013) (2)
- Improvements on the Diamond-V 2 O 5 2DHG Electronic Structure using an Al 2 O 3 Passivation Layer (2018) (2)
- Titanium germanosilicide phase formation during the Ti-Si 1-xGe x solid phase reactions (1995) (2)
- CW Argon-ion Laser Crystallization of a-Si:H Thin Films (2001) (2)
- Conduction band states of transition metal (TM) high-k gate dielectrics as determined from X-ray absorption spectra (2005) (2)
- Nanoscale Materials and Devices for Electronics, Photonics and Solar Energy (2015) (2)
- Wavelength-dependent Raman scattering of hydrogenated amorphous silicon carbon with red, green, and blue light excitation (2003) (2)
- Nanodynamics of Vapor-Phase Organophosphates on Silicon and OTS for MEMS Lubrication Purposes (2005) (2)
- Dynamics of dysprosium silicide nanostructures on Si(001) and (111) surfaces (2014) (2)
- Titanium Interlayer Mediated Epitaxy of CoSi2 on Si1−xGex (2004) (2)
- Highly oriented diamond films on Si: growth, characterization, and devices (1994) (2)
- Surface Morphology of Nanoscale TiSi 2 Epitaxial Islands on Si(00l) (1996) (1)
- An Isothermal Device Configuration for Diamond Based Photon-Enhanced Thermionic Solar Energy Conversion (2014) (1)
- Selective bond breaking in amorphous hydrogenated silicon by using Duke FEL (2001) (1)
- Final state effects in VUV and soft X-ray absorption spectra of transition metal oxides and silicate alloys: comparisons between experiment and ab initio calculations (2005) (1)
- Surface Electronic States of Low Temperature H-plasma Cleaned Si(100) and Ge(100) Surfaces (1992) (1)
- Relationship of Field Emission Characteristics on Process Gas Nitrogen Content in Nitrogen Doped Diamond Films (1998) (1)
- Growth and Characterization of Boron Nitride/Diamond Heterostructures (2022) (1)
- Growth and Characterization of Titanium Silicide Films on Natural Diamond C(001) Substrates (1992) (1)
- Photochemical Reaction Patterns on Heterostructures of ZnO on Periodically Poled Lithium Niobate. (2016) (1)
- Interface Stability of Ti(Sil−yGey)2 and Si1−x Gex Alloys (1995) (1)
- The effect of germanium on the Co-SiGe thin-film reaction. (1999) (1)
- Large Crystallite Polysilicon Deposited Using Pulsed-Gas PECVD at Temperatures Less Than 250°C (1996) (1)
- Field Emission from Nitrogen-Doped Diamond Film (1997) (1)
- Formation of stable titanium germanosilicide thin films on Si1−xGex (2005) (1)
- Current Transient Spectroscopic Study of Vacancy Complexes in Diamond Schottky p-i-n Diode (2022) (1)
- RAMAN SPECTROSCOPIC EVALUATION OF SILICIDES FORMED WITH A SCANNED ELECTRON BEAM. (1980) (1)
- Field emission induced damage from nitrogen doped diamond films grown by microwave plasma CVD (1998) (1)
- RAMAN SCATTERING FROM THE COPPER HALIDES CuI, CuBr AND CuCl IN THE HIGH TEMPERATURE PHASES. (1979) (1)
- Toward plasma enhanced atomic layer deposition of oxides on graphene: Understanding plasma effects (2017) (1)
- Commercialization of Diamond Semiconductor Devices (2021) (1)
- Real-time observation of Ti silicide epitaxial islands growth with the photoelectron emission microscopy (1998) (1)
- Thermionic and field electron emission from nanostructured carbon materials for energy conversion and vacuum electronics (2005) (1)
- XAFS studies of the formation of cobalt silicide on (square root of 3 x square root of 3) SiC(0001). (2001) (1)
- Local Structural Studies of TiSi2 and ZrSi2 Thin Films on Si(111) Surfaces (1993) (1)
- Mössbauer and magnetization measurements in FeCr solid solutions (2008) (1)
- A Systematic Approach of Understanding and Retaining Pmos Compatible Work Function of Metal Electrodes On HfO 2 Gate Dielectrics (2006) (1)
- RIE passivation layer removal by remote H-plasma and H 2/SiH 4 plasma processing (1995) (1)
- Removal of SiO 2 from Si (100) by remote H 2/SiH 4 plasma prior to epitaxial growth (1995) (1)
- Phase Transition and Formation of TiSi 2 Codeposited on Atomically Clean Si(111). (1993) (1)
- Electron-Emissive Materials, Vacuum Microelectronics and Flat-Panel Displays; Symposium Held in San Francisco, California on April 25-27, 2000 (2000) (1)
- Raman Analysis Of Al x Ga 1-x N Films (1997) (1)
- Manipulations with diamond nanoparticles in SPM: the effect of electric field of the conductive probe tip (2016) (1)
- Morphology of NISI Film on Si(100): Role of the Interface Strain (1998) (1)
- Phonon Dynamics and Lifetimes of Aln and Gan Crystallites (1999) (1)
- Photo-Electron Emission Microscopy of Semiconductor Surfaces (1998) (1)
- Investigation of an NEA diamond vacuum microtriode array (1996) (1)
- Shape transition and migration of TiSi2 nanostructures embedded in a Si matrix (2007) (1)
- Nanoscale Characterization of Electronic and Electrical Properties of III-Nitrides by Scanning Probe Microscopy (2007) (1)
- Effect of Interface Manipulation for MBE Growth of AlN on 6H-SiC (2000) (1)
- STRUCTURAL AND ELECTRICAL PROPERTIES OF NOBLE METAL-HYDROGENATED AMORPHOUS SILICON INTERFACES (1981) (1)
- Photo-Emission Electron Microscopy (PEEM) of Cleaned and Etched 6H-SiC(0001) (2000) (1)
- Space charge limited corrections to the power figure of merit for diamond (2022) (1)
- UV Raman Study of A 1 (LO) and E 2 Phonons in InGaN Alloys Grown by Metal-Organic Chemical Vapor Deposition on (0001) Sapphire Substrates (2000) (1)
- CHARACTERIZATION OF DIAMOND THIN FILMS (1991) (1)
- Thermionic FEEM, PEEM and I/V Measurements of N-Doped CVD Diamond Surfaces (2000) (1)
- Band alignment of hydrogen-plasma cleaned MBE CdTe on InSb (001) (2015) (1)
- Ex situ and in situ methods for complete oxygen and non-carbidic carbon removal from (0001) Si 6H-SiC surfaces (1996) (1)
- Infrared Characterization of the Hydrogen Environments in Diamond Thin Films (1989) (1)
- Materials Research Society Symposium Proceedings on Diamond, SiC and Nitride Wide Bandgap Semiconductors Held at San Francisco, California on 4-8 April 1994. Volume 339. (1994) (1)
- Phonon Dynamics and Lifetimes of AIN and GAN Crystallites (1998) (1)
- Characterization of Zirconium Germanosilicide Formed by Solid State Reaction of ZR With Si l−x Ge x Alloys (1995) (1)
- Strain and Impurity Content of Synthetic Diamond Crystals (1994) (1)
- Growth and Characterization of Heteroepitaxial GaAs on Semiconductor-on-Insulator and Insulating Substrates (1989) (1)
- Morphology and stability of (Ti0.9Zr0.1) Si2 thin films on Si(111) and Si(100) formed in UHV (1995) (1)
- Chemical, Electrical, and Structural Properties of Au/Pd Contacts on Chemical Vapor Cleaned p-type GaN Surfaces (2001) (1)
- Recombination processes of the broadband and 1.681 eV optical centers in diamond films (1994) (1)
- Structural investigation of the initial interface region formed by thin zirconium films on silicon (111) (1994) (1)
- An lntegrated Growth and Analysis System for In-Situ XAS Studies of Metal- Semiconductor Interactions (1997) (1)
- The Schottky barrier of Co on strained and unstrained SixGe1−x alloys (1996) (1)
- Photoluminescence and recombination mechanisms in GaN Õ Al 0 . 2 Ga 0 . 8 N superlattice (2000) (1)
- High current density diamond based electron emitters for vacuum thermionic energy conversion (2010) (0)
- Evolution dynamics of Ge nanostructures on Si surfaces (2007) (0)
- Decay of DySi2 nanowires on Si(001) (2003) (0)
- Growth and Characterization of Thin Films and Patterned Substrates of III-V Nitrides on SiC (0001) Substrates (1997) (0)
- In Situ Ellipsometric Studies of Palladium Silicide Formation (1985) (0)
- Photoluminescence spectroscopy measurement of elastic strain in heteroepitaxial GaAs films (1990) (0)
- AFM analysis of HF vapor cleaned SiO 2 surfaces (1997) (0)
- Growth of Vertically Aligned Carbon Nanotube Films: Single- versus Multi-walled (2005) (0)
- Abstract Submitted for the MAR11 Meeting of The American Physical Society Alloyed Hf-La High-k Oxide Film Grown by Remote Plasma (2012) (0)
- TiC nanoisland formation on 6H–SiC(0001)Si (2002) (0)
- Effects of Surface Modification on Photo-Induced Ferroelectric Nanolithography (2009) (0)
- Studies of the coupling of final d*-states in mixed Hf and Ti oxides (HfO 2) x (TiO x ) 1- x and oth (2005) (0)
- In situ Remote H-Plasma Cleaning of Patterned Si-SiO2 Surfaces. (1995) (0)
- Growth and characterization of bulk crystals, thin films and patterned structures of AlN, GaN and Al/sub x/Ga/sub 1-x/N on SiC(0001) substrates and device-related research (1997) (0)
- A simple, solution-based technique to pattern copper nanowires (2015) (0)
- Correlation of roughness and device properties for hydrogen plasma cleaning of Si(100) prior to gate oxidation (1995) (0)
- Stress relaxation in uniquely oriented SiGe/Si epitaxial layers (2002) (0)
- MRS Goes to Washington (1998) (0)
- Self-assembled Ge dots and dashes on SiGe/Si superlattices (2003) (0)
- Cubic Boron Nitride on Semiconducting Diamond and its Application to Neutron Detection (2018) (0)
- XAFS studies of the formation of cobalt silicide on (31/2 by 31/2) SiC(0001) (2001) (0)
- Morphology Of Silicon Oxides On Silicon Carbide (1997) (0)
- Plasma Enhanced Atomic Layer Deposition Nucleation Performance on Atomically Smooth Graphene Surfaces (2015) (0)
- Structure of the SiO2 / Si(001) Interface (2002) (0)
- Fundamental Studies of Defect Generation in Amorphous Silicon Alloys Grown by Remote Plasma-Enhanced Chemical Vapor Deposition (Remote PECVD), Annual Subcontract Report, 1 September 1990 - 31 August 1991 (1993) (0)
- Surface electronic structure of positively and negatively poled single crystal lithium niobate after surface processes (2010) (0)
- Gold particle formation via photoenhanced deposition on lithium niobate (2017) (0)
- Variable temperature conducting tip atomic force microscopy of cobalt silicide on n-type Si(111):7$\times $7 and n-type Si(100):2$\times $1 (2007) (0)
- Crystal truncation rod fitting of thin SiO2 on Si(001) system (2003) (0)
- X-ray absorption spectra for transition metal high-k dielectrics : Final state differences for intra-and interatomic transitions (2004) (0)
- Phase stability and epitaxy of C49 $TiSi_2$ on Si(111) (1994) (0)
- Growth , Characterization and Device Development in Monocrystallirte Diamond Films (0)
- Electron sources based on diamond pin-diodes (2018) (0)
- Low Temperature Deposition and Characterization of N- and P-Type Silicon Carbide Thin Films and Associated Ohmic and Schottky Contacts (1993) (0)
- Reverse Recovery Behavior in Vertical Diamond Schottky Diodes (2022) (0)
- Evolution dynamics of Ge islands on Si (001) and Si (113) surfaces (2004) (0)
- Reduction of The Phase Transition Temperature of TiSi 2 on Si(111) Using a Ta Interlayer (1999) (0)
- \textbf{Band Alignment of PEALD Al2O3 and SiO2 Dielectric Passivation Layers on Zinc Oxide} (2015) (0)
- Properties of the Heteroepitaxial AIN/SiC Interface (1994) (0)
- Observation of lateral growth between diamond domains by scanning tunneling microscopy (1991) (0)
- Real-Time Observation of Pt-Si Micro-Droplet Migration by Photo-Electron Emission Microscopy (1999) (0)
- UV Photo-enhanced Adsorption of DNA on Mica (2009) (0)
- Abstract Submitted for the 4CF15 Meeting of The American Physical Society Band Alignment of PEALD Al2O3 and SiO2 Dielectric Passi- (2015) (0)
- Annual Letter Report . (1991) (0)
- Diamond, GaN, GaAlN, AlN, BN (1996) (0)
- Incorporating Authentic Scientific Research and The Nature of Science Into the High School Classroom. (2011) (0)
- XAFS studies of the formation of cobalt silicide on sqrt(3) by sqrt (3) SiC(0001) (2001) (0)
- Thickness Effects in the Reaction of Cobalt with Slicon-Germanium Alloys (1998) (0)
- Electron emission from etched diamond and its structural analysis (1998) (0)
- Plasma Characterization of MPCVD Discharges for Nanocrystalline Diamond Deposition (2008) (0)
- Plasma enhanced atomic layer deposition of ultrathin oxides on graphene (2015) (0)
- Photo-Induced Nanowire Formation on Polarity Patterned Ferroelectric Domains -- Wavelength Dependence (2008) (0)
- Real-time Observation of Evolution Dynamics of Ge Nanostructures on Si Surfaces by Photoelectron Emission Microscopy (2007) (0)
- Measurements of the band offset of SiO 2 on clean GaN (2001) (0)
- Development of a Photo Electron Emission Microscopy-Free Electron Laser System (PEEM-FEL) for Studies of the Dynamics of Surface Processing and Epitaxial Growth (1998) (0)
- Ohmic contacts for hydrogen containing amorphous silicon. (1985) (0)
- Photo-induced Nanopattern Formation on Polarity Patterned Lithium Niobate with ZnO-Modified Surfaces (2015) (0)
- Materials Research Society Symposium Proceedings. Volume 339: Diamond, SiC and nitride wide bandgap semiconductors (1994) (0)
- SURFACE MORPHOLOGY OF TiSi 2 ON SILICON (1990) (0)
- Challenges in atomic layer etching of gallium nitride using surface oxidation and ligand-exchange (2023) (0)
- Modification of graphene chemistry for metal nanoparticle growth: the effect of substrate selection (2014) (0)
- HYDROGEN ENVIRONMENTS AND DEFECTS IN PLASMA-DEPOSITED a-Si: H. (1979) (0)
- Low-Frequency Electronic Noise in the Aluminum Gallium Oxide Schottky Barrier Diodes (2023) (0)
- Quarterly Letter Report (1992) (0)
- Pin Diamond Diode for Alpha Particle Detection (2019) (0)
- Epitaxial Films of Cobalt Disilicide (100) Evaporated onto Si (100) from a Mixed Source (1995) (0)
- Growth and stability of dysprosium silicide nanostructures on Si(001) (2007) (0)
- Thin films : interfaces and phenomena : symposium held December 2-6, 1985, Boston, Massachusetts, USA (1986) (0)
- Diamond electronics-fundamentals to applications II : symposium held November 26-30, 2007, Boston, Massachusetts, U.S.A. (2008) (0)
- Center of Excellence in the Area of Human and Robotic Structures Technologies for Lunar and Planetary Exploration (2006) (0)
- Valence Band Alignment at (111)/(0001) ScN/SiC and ScN/GaN Interfaces as Determined by Photoemission (2014) (0)
- Plasma Process to Simultaneously Clean ILD and CMP Cu Surfaces (2011) (0)
- Diamond Based Electron Emitters for Photon Enhanced Thermionic Energy Conversion (2011) (0)
- The Negative Electron Affinity Effect on the Thermionic Emission from Nitrogen Doped Diamond (2006) (0)
- AD-A 252 363 Semiannual Report I 1 Low Temperature Deposition and Characterization of Nand P-Type Silicon Carbide Thin Films and Associated Ohmic and Schottky Contacts (0)
- Titanium and Cobalt Silicide Formation on 6H-SiC (1998) (0)
- Erratum: “Morphology of TiSi2 and ZrSi2 on Si(100) and (111) surfaces” [J. Mater. Res. 9, 1214–1227 (1994)] (1994) (0)
- Real-Time UV-FEL PEEM of Silicide Nanostructure Coarsening Dynamics on Si(100) (2006) (0)
- Tem Investigation of Co-Si Thin Films on S1-xGex/Si (1998) (0)
- Influence of Surface Pre-Cleaning on Electrical Properties of Rapid Thermal Oxide and Rapid Thermal Chemical Vapor Deposition Oxide (1992) (0)
- Theoretical investigation of vacuum thermionic energy conversion devices for efficient conversion of solar to electrical energy (2007) (0)
- TEM Characterization of Nano Crystalline Diamond Film (2007) (0)
- Reduction of the transition temperature of C54 TiSi 2 through a Ta interlayer (1999) (0)
- Diamond Schottky p-i-n Diodes: DC, Small-Signal and Large-Signal Behavior for RF Applications (2022) (0)
- ICNDST & ADC 2006 presents latest research in diamond and related materials (2006) (0)
- Fundamental studies of defect generation in amorphous silicon alloys grown by remote plasma-enhanced chemical-vapor deposition (Remote PECVD) (1993) (0)
- UV Raman Scattering in 6H-SiC Indents (2003) (0)
- Electrical Properties of Nanoscale Tisi2 Islands on Si (1999) (0)
- Localized emission from flat diamond cathodes (2006) (0)
- Response to Comment on ‘Pd growth and subsequent Schottky barrier formation on chemical vapor cleaned p-type GaN surfaces’ [J. Appl. Phys. 91, 732 (2002)] (2003) (0)
- Plasma Enhanced Atomic Layer-etched and Regrown GaN-on-GaN High Power p-n Diodes (2020) (0)
- Optical characterization of high quality GaN produced by high rate magnetron sputter epitaxy (2002) (0)
- Interface Properties of Wide Bandgap Semiconductor Structures (1994) (0)
- Manipulations with diamond nanoparticles in SPM: the effect of electric field of the conductive probe tip (2016) (0)
- The state and potential of diamond in efficient direct energy conversion (2016) (0)
- Photochemistry and other applications of diamond (2018) (0)
- Surface Morphology of SiGe Epitaxial Layers Grown on Uniquely Oriented Si Substrates (2000) (0)
- of Materials Science and Engineering (2017) (0)
- Anomalous Field Enhancement in Planar Semiconducting Cold Cathodes from Spontaneous Ordering in the Accumulation Region (2000) (0)
- Low temperature deposition and characterization of n- and p-type silicon carbide thin films and associated ohmic and Schottky contacts. Annual report, 1 January-31 December 1992 (1992) (0)
- Interface engineering and defect control in heteroepitaxial growth of GaN. Annual technical report ending June 1998 (1998) (0)
- Resonant tunneling in stacked dielectrics: a novel approach for obtaining the electron tunneling mass-conduction band offset energy products for advanced gate dielectrics (2003) (0)
- Free carrier absorption and the transient optical properties of amorphous silicon thin films: A model including time dependent free carrier, and static and dispersive interband contributions to the complex dielectric constant (1989) (0)
- TEM observation of nitrogen-doped diamond films. (2012) (0)
- Optical Properties of Aluminum Doped Zinc Oxide Thin Film Grown by Remote PEALD (2014) (0)
- ElectronicConductance Resonance in Non-Redox-ActiveProteins (2020) (0)
- Surface transfer doping of MoO3 on hydrogen terminated diamond with an Al2O3 interfacial layer (2022) (0)
- Schottky Barriers on Amorphous Si and Their Applications (1984) (0)
- Combined Photo and Thermionic Energy Conversion with Doped Diamond Electron Emitters (2012) (0)
- Tribological properties of nanocrystalline diamond films with different nanoscale morphology and bonding characteristics (2005) (0)
- New Symposium Tutorial Program Debuts at the 1995 MRS Fall Meeting (1995) (0)
- Solid Silicon at the Melting Temperature is Crystalline (1983) (0)
- Electronic States of Hafnium and Vanadium oxide in Silicon Gate Stack Structure (2010) (0)
- The structural and electronic properties of amorphous silicon based alloy materials (1984) (0)
- Micro-Raman analysis of stress state in diamond thin films (1995) (0)
- Electron-Emissive Materials and Vacuum Microelectronics Chairs (2000) (0)
- Silicide contacts for Si/Ge devices (2004) (0)
- Structural and electronic properties of amorphous-silicon-based alloy materials. Final report, June 1983-May 1984 (1984) (0)
- Polarity effects on the electronic states of N- and Al-polar aluminum nitride surfaces (2006) (0)
- Age‐dependent Photoionization Thresholds of Melanosomes and Lipofuscin Isolated from Human Retinal Pigment Epithelium Cells † (2006) (0)
- Title Domain growth kinetics in lithium niobate single crystalsstudied by piezoresponse force microscopy (2017) (0)
- Preparation and characterization of atomically clean, stoichiometric surfaces of n- and p-type GaNÑ0001Ö (2004) (0)
- Thin Films of CoSi2 Co-Deposited onto Si1-xGexAlloys (1996) (0)
- Controlled Ag Nanopattern Formation through UV Wavelength Dependent Photochemical Interactions on PPLN (2009) (0)
- Optimized vacuum thermionic energy conversion using diamond materials (2009) (0)
- 9.1: Negative electron affinity ultra-nano crystalline diamond based thermionic electron emitters for low to moderate temperature operation (2010) (0)
- Use of plasma enhanced ALD to construct efficient interference filters for astronomy in the FUV (2015) (0)
- Residual Gas Analysis-Controlled Phosphorus Doped Diamond Homoepitaxy Utilizing a Trimethylphosphine Dopant Source (2023) (0)
- A Novel Approach for Determination of Tunneling Mass, meff - Conduction Band Offset Energy, EB, Products for Advanced Gate Dielectrics (2003) (0)
- Single Human Plasma Fibrinogen Molecule Imaging by PEEM and AFM (2006) (0)
- Grazing Incidence and Co-planar Reflectivity Study of a Thin Thermal Oxide (SiO2 ) Over Si(001) (2001) (0)
- Photo-ionization Potential Threshold of Single Human Fibrinogen Molecule Absorbed onto Silicon Surfaces (2007) (0)
- Characterization of Diamond Film Nucleation and Growth Surface (1993) (0)
- Defects and Impurities in 4H- and 6H-SiC Homoepitaxial Layers: Identification, Origin, Effect on Properties of Ohmic Contacts and Insulating Layers and Reduction. (1996) (0)
- Band Alignment of Plasma-Enhanced ALD High-k Dielectrics on Gallium Nitride (2012) (0)
- Shape stability during growth of TiSi 2 islands on Si (111) (2001) (0)
- Thermal decomposition of indium phosphide: monitoring of metallic cluster growth (2005) (0)
- The effect of negative electron affinity emitter materials on space charge mitigation of vacuum thermionic energy conversion devices (2006) (0)
- Characterization of Titanium Silicide Contacts Deposited on Semiconducting Diamond Substrates (1991) (0)
- Raman Scattering For Semiconductor Interface Analysis (1987) (0)
- Electronic states of plasma-enhanced atomic layer deposited SiO2 on GaN (2015) (0)
- Interface properties of wide bandgap semiconductor structures. Semi-annual technical report (1993) (0)
- Alloyed Hf-La High-k Oxide Film Grown by Remote Plasma Atomic Layer Deposition (2011) (0)
- Multilayer ultraviolet reflective coating based on atomic layer deposited aluminum oxide and fluoride (2021) (0)
- Diamond p-i-n-nanoC Diodes for Electron Emitters (2020) (0)
- THIN FILM KINETICS AND REACTIONS AT METAL-SILICON INTERFACES. (1985) (0)
- Vacuum Thermionic Energy Conversion Based on Nanocrystalline Diamond Films (2006) (0)
- Ohmic contacts to nitrogen-doped nanocarbon layers on diamond (100) surfaces (2023) (0)
- Electroless deposition of metal nanoparticles on graphene with substrate-assisted techniques (2015) (0)
- Support Controlled Catalytic Chemical Vapor Deposition of Carbon Nanotubes (2007) (0)
- Comparison of AlF3 thin films grown by thermal and plasma enhanced atomic layer deposition (2022) (0)
- Polarization Effects on Heterojunction Band Offset Measurements of Oxide-GaN Interfaces (2005) (0)
- [재료]Initial Reactions of Ti on the Atomically Clean Si Substrates (1992) (0)
- Novel Approaches in Energy Conversion by Molecular Charge Transfer from Diamond Surfaces (2011) (0)
- Photochemistry with Diamond (2016) (0)
- Amorphous structure modulation of thin SiO2/Si(001) (2003) (0)
- NEW CHEMICALLY-ORDERED COMPOSITIONS IN THE GLASS SYSTEMS Ge//1// minus //xS//x AND Ge//1// minus //xSe//x. (1977) (0)
- Advanced growth and surface analysis system for in situ studies of interface formation. Annual technical report (1994) (0)
- Investigation of Titanium Silicon and Germanium Reaction (1992) (0)
- PHONONS OF THE METAL/AMORPHOUS SILICON INTERFACE STUDIED BY INTERFERENCE ENHANCED RAMAN SCATTERING (1981) (0)
- Cold cathode electron emission properties of nanocrystalline diamond thin films (1998) (0)
- Interface Studies of Graphene layers on SiC thin films and bulk SiC(0001) (2008) (0)
- Effect of thermal annealing on structural and electrical properties of the Pd‐a‐Si:H interfaces (2008) (0)
- In situ studies of metal-semiconductor interactions with synchrotron radiation. (1998) (0)
This paper list is powered by the following services:
Other Resources About Robert Nemanich
What Schools Are Affiliated With Robert Nemanich?
Robert Nemanich is affiliated with the following schools: