Niraj Kumar Jha
#87,084
Most Influential Person Now
Researcher
Niraj Kumar Jha's AcademicInfluence.com Rankings
Niraj Kumar Jhacomputer-science Degrees
Computer Science
#2940
World Rank
#3077
Historical Rank
Computational Linguistics
#84
World Rank
#86
Historical Rank
Machine Learning
#244
World Rank
#247
Historical Rank
Artificial Intelligence
#411
World Rank
#418
Historical Rank
Download Badge
Computer Science
Why Is Niraj Kumar Jha Influential?
(Suggest an Edit or Addition)Niraj Kumar Jha's Published Works
Published Works
- GARNET: A detailed on-chip network model inside a full-system simulator (2009) (687)
- Dynamic voltage scaling with links for power optimization of interconnection networks (2003) (490)
- A Comprehensive Study of Security of Internet-of-Things (2017) (483)
- A study of the energy consumption characteristics of cryptographic algorithms and security protocols (2006) (447)
- Express virtual channels: towards the ideal interconnection fabric (2007) (393)
- An Algorithm for Synthesis of Reversible Logic Circuits (2006) (384)
- Fault-tolerant computer system design (1996) (355)
- Switching and Finite Automata Theory (2010) (321)
- Analyzing the energy consumption of security protocols (2003) (315)
- Hijacking an insulin pump: Security attacks and defenses for a diabetes therapy system (2011) (297)
- Dreaming to Distill: Data-Free Knowledge Transfer via DeepInversion (2019) (272)
- High-Level Power Analysis and Optimization (1997) (269)
- MOGAC: a multiobjective genetic algorithm for hardware-software cosynthesis of distributed embedded systems (1998) (254)
- A 4.6Tbits/s 3.6GHz single-cycle NoC router with a novel switch allocator in 65nm CMOS (2007) (214)
- ChamNet: Towards Efficient Network Design Through Platform-Aware Model Adaptation (2018) (212)
- Testing of Digital Systems (2003) (193)
- Design and synthesis of self-checking VLSI circuits (1993) (190)
- Thermal Modeling, Characterization and Management of On-Chip Networks (2004) (188)
- NeST: A Neural Network Synthesis Tool Based on a Grow-and-Prune Paradigm (2017) (187)
- Power-conscious joint scheduling of periodic task graphs and aperiodic tasks in distributed real-time embedded systems (2000) (181)
- Systematic Poisoning Attacks on and Defenses for Machine Learning in Healthcare (2015) (174)
- Battery-aware static scheduling for distributed real-time embedded systems (2001) (168)
- Cosyn: Hardware-software Co-synthesis Of Embedded Systems (1997) (162)
- Behavioral synthesis for low power (1994) (160)
- Safety and Reliability Driven Task Allocation in Distributed Systems (1999) (159)
- FinFETs: From Devices to Architectures (2014) (158)
- CMOS logic design with independent-gate FinFETs (2007) (150)
- IEEE Transactions on Circuits and Systems II: Express Briefs (2004) (147)
- Low power system scheduling and synthesis (2001) (145)
- HybDTM: a coordinated hardware-software approach for dynamic thermal management (2006) (144)
- Static and dynamic variable voltage scheduling algorithms for real-time heterogeneous distributed embedded systems (2002) (142)
- Secure embedded processing through hardware-assisted run-time monitoring (2005) (140)
- MedMon: Securing Medical Devices Through Wireless Monitoring and Anomaly Detection (2013) (139)
- Algorithm-based fault tolerance for FFT networks (1992) (139)
- COSYN: Hardware-software co-synthesis of heterogeneous distributed embedded systems (1999) (137)
- Joint dynamic voltage scaling and adaptive body biasing for heterogeneous distributed real-time embedded systems (2003) (135)
- Leakage power analysis and reduction during behavioral synthesis (2002) (124)
- p38 MAPK and PI3K/AKT Signalling Cascades inParkinson’s Disease (2015) (123)
- Token flow control (2008) (122)
- FinFET Circuit Design (2011) (120)
- Register-transfer level estimation techniques for switching activity and power consumption (1996) (119)
- Trustworthiness of Medical Devices and Body Area Networks (2014) (115)
- MOGAC: a multiobjective genetic algorithm for the co-synthesis of hardware-software embedded systems (1997) (114)
- Oxidative Stress in Cancer Cell Metabolism (2021) (112)
- Synthesis of custom processors based on extensible platforms (2002) (103)
- MINIMALIST: An Environment for the Synthesis, Verification and Testability of Burst-Mode Asynchronous Machines (1999) (102)
- CORDS: hardware-software co-synthesis of reconfigurable real-time distributed embedded systems (1998) (102)
- Power analysis of embedded operating systems (2000) (100)
- Custom-instruction synthesis for extensible-processor platforms (2004) (100)
- Wearable Medical Sensor-Based System Design: A Survey (2017) (99)
- Toward Ideal On-Chip Communication Using Express Virtual Channels (2008) (97)
- Threshold network synthesis and optimization and its application to nanotechnologies (2005) (96)
- Switching and Finite Automata Theory: Frontmatter (2009) (96)
- Synthesis of reversible logic (2004) (95)
- Energy-Efficient Long-term Continuous Personal Health Monitoring (2015) (95)
- System-Level Dynamic Thermal Management for High-Performance Microprocessors (2008) (94)
- A low overhead design for testability and test generation technique for core-based systems (1997) (93)
- MOCSYN: multiobjective core-based single-chip system synthesis (1999) (93)
- Secure Virtual Machine Execution under an Untrusted Management OS (2010) (92)
- High-level software energy macro-modeling (2001) (90)
- Behavioral Synthesis of Highly Testable Data Paths under the Non-Scan and Partial Scan Environments (1993) (89)
- Reversible logic synthesis with Fredkin and Peres gates (2008) (86)
- Hardware-Assisted Run-Time Monitoring for Secure Program Execution on Embedded Processors (2006) (78)
- A Health Decision Support System for Disease Diagnosis Based on Wearable Medical Sensors and Machine Learning Ensembles (2017) (78)
- Design for hierarchical testability of RTL circuits obtained by behavioral synthesis (1995) (77)
- Linking mitochondrial dysfunction, metabolic syndrome and stress signaling in Neurodegeneration. (2017) (77)
- SCALP: an iterative-improvement-based low-power data path synthesis system (1997) (75)
- Testing and Reliable Design of CMOS Circuits (1989) (75)
- In-Network Snoop Ordering (INSO): Snoopy coherence on unordered interconnects (2009) (74)
- Genesis: a behavioral synthesis system for hierarchical testability (1994) (74)
- Task graph extraction for embedded system synthesis (2003) (73)
- Nuclear factor‐kappa β as a therapeutic target for Alzheimer's disease (2019) (73)
- An iterative improvement algorithm for low power data path synthesis (1995) (73)
- Interconnect-aware high-level synthesis for low power (2002) (70)
- Energy efficiency of handheld computer interfaces: limits, characterization and practice (2005) (70)
- A Trusted Virtual Machine in an Untrusted Management Environment (2012) (69)
- Behavioral synthesis for easy testability in data path scheduling (1992) (69)
- Design of Logic Gates and Flip-Flops in High-Performance FinFET Technology (2013) (69)
- Simultaneous Dynamic Voltage Scaling of Processors and Communication Links in Real-Time Distributed Embedded Systems (2007) (68)
- Nuclear factor-kappa B and its role in inflammatory lung disease. (2021) (67)
- Grow and Prune Compact, Fast, and Accurate LSTMs (2018) (66)
- Keep the Stress Away with SoDA: Stress Detection and Alleviation System (2017) (66)
- PAQCS: Physical Design-Aware Fault-Tolerant Quantum Circuit Synthesis (2015) (66)
- Synthesis of majority and minority networks and its applications to QCA, TPL and SET based nanotechnologies (2005) (65)
- Combined dynamic voltage scaling and adaptive body biasing for heterogeneous distributed real-time embedded systems (2003) (65)
- Energy-efficient graphical user interface design (2004) (64)
- High-level power modeling of CPLDs and FPGAs (2001) (63)
- Hardware-software co-synthesis of low power real-time distributed embedded systems with dynamically reconfigurable FPGAs (2002) (63)
- An ILP formulation for low power based on minimizing switched capacitance during data path allocation (1995) (62)
- Evidence of Coronavirus (CoV) Pathogenesis and Emerging Pathogen SARS-CoV-2 in the Nervous System: A Review on Neurological Impairments and Manifestations (2021) (62)
- Emerging Frontiers in Embedded Security (2013) (61)
- Behavioral synthesis for easy testability in data path allocation (1992) (60)
- Register transfer level power optimization with emphasis on glitch analysis and reduction (1999) (59)
- CABA: Continuous Authentication Based on BioAura (2017) (59)
- Power-Efficient Scheduling for Heterogeneous Distributed Real-Time Embedded Systems (2007) (58)
- Analysis and design of a hardware/software trusted platform module for embedded systems (2008) (58)
- A fast and low cost testing technique for core-based system-on-chip (1998) (58)
- In-Network Coherence Filtering: Snoopy coherence without broadcasts (2009) (58)
- Majority and Minority Network Synthesis With Application to QCA-, SET-, and TPL-Based Nanotechnologies (2007) (57)
- Ion Channels in Neurological Disorders. (2016) (57)
- Power-efficient Interconnection Networks: Dynamic Voltage Scaling with Links (2002) (57)
- Vibration-based secure side channel for medical devices (2015) (56)
- Energy macromodeling of embedded operating systems (2005) (56)
- Impact of Insulin Degrading Enzyme and Neprilysin in Alzheimer's Disease Biology: Characterization of Putative Cognates for Therapeutic Applications. (2015) (56)
- PowerHerd: a distributed scheme for dynamically satisfying peak-power constraints in interconnection networks (2006) (55)
- Energy comparison and optimization of wireless body-area network technologies (2007) (55)
- A design for testability technique for RTL circuits using control/data flow extraction (1996) (54)
- Synthesis and optimization of threshold logic networks with application to nanotechnologies (2004) (54)
- Synthesis of application-specific heterogeneous multiprocessor architectures using extensible processors (2005) (53)
- A scalable application-specific processor synthesis methodology (2003) (53)
- COFTA: Hardware-Software Co-Synthesis of Heterogeneous Distributed Embedded Systems (1999) (53)
- On the design of robust multiple fault testable CMOS combinational logic circuits (1988) (53)
- A Test Generation Framework for Quantum Cellular Automata Circuits (2007) (50)
- Design of Testable CMOS Logic Circuits Under Arbitrary Delays (1985) (50)
- COHRA: hardware-software cosynthesis of hierarchical heterogeneous distributed embedded systems (1998) (50)
- A design-for-testability technique for register-transfer level circuits using control/data flow extraction (1998) (49)
- Power-profile driven variable voltage scaling for heterogeneous distributed real-time embedded systems (2003) (49)
- Glitch analysis and reduction in register transfer level power optimization (1996) (48)
- Interconnect-aware low-power high-level synthesis (2005) (47)
- A 0.6–107 µW Energy-Scalable Processor for Directly Analyzing Compressively-Sensed EEG (2014) (47)
- High-level synthesis of low-power control-flow intensive circuits (1999) (46)
- Design of robustly testable combinational logic circuits (1991) (46)
- Common-case computation: a high-level technique for power and performance optimization (1999) (46)
- A “NOTCH” Deeper into the Epithelial-To-Mesenchymal Transition (EMT) Program in Breast Cancer (2019) (45)
- Signal Processing With Direct Computations on Compressively Sensed Data (2015) (45)
- Temperature-Aware On-Chip Networks (2006) (45)
- Fault modeling for FinFET circuits (2010) (45)
- Physiological Information Leakage: A New Frontier in Health Information Security (2016) (45)
- 3-D-TCAD-Based Parasitic Capacitance Extraction for Emerging Multigate Devices and Circuits (2013) (44)
- SLOPES: Hardware–Software Cosynthesis of Low-Power Real-Time Distributed Embedded Systems With Dynamically Reconfigurable FPGAs (2007) (44)
- Software architectural transformations: a new approach to low energy embedded software (2003) (44)
- Smart healthcare (2018) (43)
- Automated Energy/Performance Macromodeling of Embedded Software (2004) (42)
- Smart Health Care: An Edge-Side Computing Perspective (2018) (42)
- Improving the Trustworthiness of Medical Device Software with Formal Verification Methods (2013) (42)
- Nanoelectronic circuit design (2011) (42)
- Application-specific heterogeneous multiprocessor synthesis using extensible processors (2006) (42)
- CovidDeep: SARS-CoV-2/COVID-19 Test Based on Wearable Medical Sensors and Efficient Neural Networks (2020) (42)
- PowerHerd: dynamic satisfaction of peak power constraints in interconnection networks (2003) (41)
- Testing of core-based systems-on-a-chip (2001) (41)
- Wavesched: a novel scheduling technique for control-flow intensive designs (1999) (41)
- ROBESim: A retrofit-oriented building energy simulator based on EnergyPlus (2013) (41)
- NATURE: a hybrid nanotube/CMOS dynamically reconfigurable architecture (2006) (41)
- Tau phosphorylation, molecular chaperones, and ubiquitin E3 ligase: clinical relevance in Alzheimer's disease. (2014) (41)
- Embedded operating system energy analysis and macro-modeling (2002) (40)
- Wavesched: a novel scheduling technique for control-flow intensive behavioral descriptions (1997) (40)
- Die-level leakage power analysis of FinFET circuits considering process variations (2010) (39)
- Efficient fingerprint-based user authentication for embedded systems (2005) (39)
- An overview of vaccine development for COVID-19 (2021) (39)
- Wastewater Treatment and Reuse: a Review of its Applications and Health Implications (2021) (39)
- Parasitics-Aware Design of Symmetric and Asymmetric Gate-Workfunction FinFET SRAMs (2014) (38)
- A compressed-domain processor for seizure detection to simultaneously reduce computation and communication energy (2012) (38)
- Testing for multiple faults in domino-CMOS logic circuits (1988) (38)
- Multiple Stuck-Open Fault Detection in CMOS Logic Circuits (1988) (37)
- Behavioral synthesis for hierarchical testability of controller/data path circuits with conditional branches (1994) (37)
- Design of ultra-low-leakage logic gates and flip-flops in high-performance FinFET technology (2011) (37)
- Hierarchical test generation and design for testability methods for ASPPs and ASIPs (1999) (37)
- Graphical user interface energy characterization for handheld computers (2003) (36)
- Smart, Secure, Yet Energy-Efficient, Internet-of-Things Sensors (2018) (36)
- Energy-efficient and Secure Sensor Data Transmission Using Encompression (2013) (36)
- CASPER: Concurrent hardware-software co-synthesis of hard real-time aperiodic and periodic specifications of embedded system architectures (1998) (36)
- Rutin loaded liquid crystalline nanoparticles inhibit non-small cell lung cancer proliferation and migration in vitro. (2021) (36)
- An energy-aware framework for dynamic software management in mobile computing systems (2008) (34)
- Integration of hierarchical test generation with behavioral synthesis of controller and data path circuits (1998) (34)
- Energy-optimizing source code transformations for operating system-driven embedded software (2007) (33)
- Carvacrol, a Plant Metabolite Targeting Viral Protease (Mpro) and ACE2 in Host Cells Can Be a Possible Candidate for COVID-19 (2021) (33)
- Incorporating speculative execution into scheduling ofcontrol-flow-intensive designs (2000) (33)
- Satisfiability-based test generation for nonseparable RTL controller-datapath circuits (2006) (32)
- Easily testable gate-level and DCVS multipliers (1991) (32)
- Hybrid simulation for embedded software energy estimation (2005) (32)
- Power estimation for cycle-accurate functional descriptions of hardware (2004) (32)
- Reducing Wire and Energy Overheads of the SMART NoC Using a Setup Request Network (2016) (32)
- Incorporating speculative execution into scheduling of control-flow intensive behavioral descriptions (1998) (31)
- TAO: regular expression based high-level testability analysis and optimization (1998) (31)
- Synthesis of multi-level combinational circuits for complete robust path delay fault testability (1992) (31)
- Generation of Heterogeneous Distributed Architectures for Memory-Intensive Applications Through High-Level Synthesis (2007) (31)
- A fast and low-cost testing technique for core-based system-chips (2000) (31)
- Current-status and applications of polysaccharides in drug delivery systems (2021) (31)
- Re-expression of cell cycle markers in aged neurons and muscles: Whether cells should divide or die? (2017) (31)
- FinCANON: A PVT-Aware Integrated Delay and Power Modeling Framework for FinFET-Based Caches and On-Chip Networks (2014) (31)
- Hypoxia-Induced Signaling Activation in Neurodegenerative Diseases: Targets for New Therapeutic Strategies. (2018) (31)
- A Scalable Synthesis Methodology for Application-Specific Processors (2006) (30)
- SCANN: Synthesis of Compact and Accurate Neural Networks (2019) (30)
- Power Management Techniques For Control-flow Intensive Designs (1997) (30)
- PinMe: Tracking a Smartphone User around the World (2018) (30)
- Biomedical applications of metallic nanoparticles in cancer: Current status and future perspectives. (2022) (30)
- Perspectives and advancements in the design of nanomaterials for targeted cancer theranostics. (2020) (29)
- TOTALLY SELF-CHECKING MOS CIRCUITS UNDER REALISTIC PHYSICAL FAILURES. (1984) (29)
- A conditional resource sharing method for behavioral synthesis of highly testable data paths (1993) (29)
- FTQLS: Fault-Tolerant Quantum Logic Synthesis (2014) (29)
- User-perceived latency driven voltage scaling for interactive applications (2005) (29)
- An architecture for secure software defined radio (2009) (29)
- A dependence graph-based approach to the design of algorithm-based fault tolerant systems (1990) (29)
- McPAT-PVT: Delay and Power Modeling Framework for FinFET Processor Architectures Under PVT Variations (2015) (29)
- DiabDeep: Pervasive Diabetes Diagnosis Based on Wearable Medical Sensors and Efficient Neural Networks (2019) (29)
- Analysis of power dissipation in embedded systems using real-time operating systems (2003) (28)
- Aiding Side-Channel Attacks on Cryptographic Software With Satisfiability-Based Analysis (2007) (28)
- Low-power system scheduling, synthesis and displays (2005) (28)
- A Synthesis Methodology for Hybrid Custom Instruction and Coprocessor Generation for Extensible Processors (2007) (28)
- Energy and Execution Time Analysis of a Software-based Trusted Platform Module (2007) (28)
- Garnet : A Detailed Interconnect Model Inside a Full-System Simulation Framework (28)
- Satisfiability-Based Automatic Test Program Generation and Design for Testability for Microprocessors (2007) (28)
- FinPrin: FinFET Logic Circuit Analysis and Optimization Under PVT Variations (2014) (27)
- Hardware-software co-synthesis of fault-tolerant real-time distributed embedded systems (1995) (27)
- Behavioral Synthesis of Fault Secure Controller/Datapaths Based on Aliasing Probability Analysis (2000) (27)
- COHRA: hardware-software co-synthesis of hierarchical distributed embedded system architectures (1998) (27)
- Microfluidic chips: recent advances, critical strategies in design, applications and future perspectives (2021) (27)
- Comparative study of anti-angiogenic activities of luteolin, lectin and lupeol biomolecules (2015) (27)
- RMDDS: Reed-muller decision diagram synthesis of reversible logic circuits (2014) (27)
- Anticancerous effect of rutin against HPV- C33A cervical cancer cells via G0/G1 cell cycle arrest and apoptotic induction. (2020) (26)
- Architectural support for safe software execution on embedded processors (2006) (26)
- A hybrid nano/CMOS dynamically reconfigurable system—Part I: Architecture (2009) (26)
- Dynamic Binary Instrumentation-Based Framework for Malware Defense (2008) (26)
- TAO: regular expression-based register-transfer level testability analysis and optimization (2001) (26)
- Low-power FinFET circuit synthesis using multiple supply and threshold voltages (2009) (26)
- High-level macro-modeling and estimation techniques for switching activity and power consumption (2003) (26)
- Low-power FinFET circuit synthesis using surface orientation optimization (2010) (26)
- Strong fault-secure and strongly self-checking domino-CMOS implementations of totally self-checking circuits (1990) (26)
- Fast test generation for circuits with RTL and gate-level views (2001) (25)
- CACTI-FinFET: An integrated delay and power modeling framework for FinFET-based caches under process variations (2011) (25)
- α-Bisabolol, a Dietary Bioactive Phytochemical Attenuates Dopaminergic Neurodegeneration through Modulation of Oxidative Stress, Neuroinflammation and Apoptosis in Rotenone-Induced Rat Model of Parkinson’s Disease (2020) (25)
- Design and synthesis of self-checking VLSI circuits and systems (1991) (25)
- 3D vs. 2D analysis of FinFET logic gates under process variations (2011) (25)
- QLib: Quantum module library (2014) (25)
- A BIST scheme for RTL controller-data paths based on symbolic testability analysis (1998) (24)
- A power management methodology for high-level synthesis (1998) (24)
- A comprehensive high-level synthesis system for control-flow intensive behaviors (2003) (24)
- Synthesis of asynchronous circuits for stuck-at and robust path delay fault testability (1995) (24)
- FinFET-based dynamic power management of on-chip interconnection networks through adaptive back-gate biasing (2009) (23)
- Test generation for non-separable RTL controller-datapath circuits using a satisfiability based approach (2003) (23)
- Optimal Design of Checks for Error Detection and Location in Fault-Tolerant Multiprocessor Systems (1993) (23)
- Towards trustworthy medical devices and body area networks (2013) (23)
- miRNAs in SARS-CoV 2: A Spoke in the Wheel of Pathogenesis. (2020) (23)
- Optimized Quantum Gate Library for Various Physical Machine Descriptions (2013) (23)
- Scavenging Properties of Plant-Derived Natural Biomolecule Para-Coumaric Acid in the Prevention of Oxidative Stress-Induced Diseases (2021) (22)
- Hybrid Simulation for Energy Estimation of Embedded Software (2007) (22)
- Stress-Induced Synaptic Dysfunction and Neurotransmitter Release in Alzheimer's Disease: Can Neurotransmitters and Neuromodulators be Potential Therapeutic Targets? (2017) (22)
- High-level energy macromodeling of embedded software (2002) (22)
- Totally self-checking checker designs for Bose-Lin, Bose, and Blaum codes (1991) (21)
- Dynamic power optimization of interactive systems (2004) (21)
- Partitioned Encoding Schemes for Algorithm-Based Fault Tolerance in Massively Parallel Systems (1994) (21)
- A high-level interconnect power model for design space exploration (2003) (21)
- Test-Volume Reduction in Systems-on-a-Chip Using Heterogeneous and Multilevel Compression Techniques (2006) (21)
- An energy-aware framework for coordinated dynamic software management in mobile computers (2004) (21)
- High-level synthesis of multi-process behavioral descriptions (2003) (21)
- IMPACT: A high-level synthesis system for low power control-flow intensive circuits (1998) (21)
- Fostering mesenchymal stem cell therapy to halt cytokine storm in COVID-19 (2020) (21)
- A Hierarchical Inference Model for Internet-of-Things (2018) (21)
- IEEE Transactions on Very Large Scale Integration (VLSI) Systems (2018) (21)
- Architectural Support for Run-Time Validation of Program Data Properties (2007) (21)
- An evaluation of energy-saving technologies for residential purposes (2010) (21)
- A hybrid energy-estimation technique for extensible processors (2004) (20)
- Neuroprotective Potential of Limonene and Limonene Containing Natural Products (2021) (20)
- Extracellular Vesicle-Based Therapy for COVID-19: Promises, Challenges and Future Prospects (2021) (20)
- Berberine-loaded liquid crystalline nanoparticles inhibit non-small cell lung cancer proliferation and migration in vitro (2022) (20)
- Power management in high-level synthesis (1999) (20)
- Detecting Multiple Faults in CMOS Circuits (1986) (20)
- A Fine-Grain Dynamically Reconfigurable Architecture Aimed at Reducing the FPGA-ASIC Gaps (2014) (20)
- Design of Efficient Content Addressable Memories in High-Performance FinFET Technology (2015) (20)
- A BIST scheme for RTL circuits based on symbolic testabilityanalysis (2000) (20)
- Can limonene be a possible candidate for evaluation as an agent or adjuvant against infection, immunity, and inflammation in COVID-19? (2020) (20)
- COFTA: hardware-software co-synthesis of heterogeneous distributed embedded system architectures for low overhead fault tolerance (1997) (19)
- A totally self-checking checker for Borden's code (1989) (19)
- A low-energy computation platform for data-driven biomedical monitoring algorithms (2011) (19)
- Software architecture exploration for high-performance security processing on a multiprocessor mobile SoC (2006) (19)
- Fully Dynamic Inference With Deep Neural Networks (2020) (19)
- SRAM-Based NATURE: A Dynamically Reconfigurable FPGA Based on 10T Low-Power SRAMs (2012) (19)
- Viral pathogenesis of SARS-CoV-2 infection and male reproductive health (2021) (19)
- Architectures for efficient face authentication in embedded systems (2006) (19)
- Discovering multifaceted role of vanillic acid beyond flavours: Nutraceutical and therapeutic potential (2022) (19)
- Screening of Potent Inhibitors Against 2019 Novel Coronavirus (Covid-19) from Alliumsativum and Allium cepa: An In Silico Approach (2020) (19)
- Incremental Learning Using a Grow-and-Prune Paradigm With Efficient Neural Networks (2019) (19)
- Nanomaterials in the Management of Gram-Negative Bacterial Infections (2021) (18)
- Theranostic Advances of Bionanomaterials against Gestational Diabetes Mellitus: A Preliminary Review (2021) (18)
- Separable codes for detecting unidirectional errors (1989) (18)
- Algorithm-Driven Architectural Design Space Exploration of Domain-Specific Medical-Sensor Processors (2013) (18)
- Antioxidants in Alzheimer’s Disease: Current Therapeutic Significance and Future Prospects (2022) (18)
- β-Caryophyllene, A Natural Dietary CB2 Receptor Selective Cannabinoid can be a Candidate to Target the Trinity of Infection, Immunity, and Inflammation in COVID-19 (2021) (18)
- A focused review on CB2 receptor-selective pharmacological properties and therapeutic potential of β-caryophyllene, a dietary cannabinoid. (2021) (18)
- Molecular mechanism(s) of regulation(s) of c-MET/HGF signaling in head and neck cancer (2022) (17)
- Test Generation for Combinational Quantum Cellular Automata (QCA) Circuits (2006) (17)
- Current Understanding of Novel Coronavirus: Molecular Pathogenesis, Diagnosis, and Treatment Approaches (2021) (17)
- Gated-diode FinFET DRAMs: Device and circuit design-considerations (2010) (17)
- High-level synthesis of power-optimized and area-optimized circuits from hierarchical data-flow intensive behaviors (1999) (17)
- Threshold Voltage Control through Multiple Supply Voltages for Power-Efficient FinFET Interconnects (2008) (17)
- Autophagy and EMT in cancer and metastasis: Who controls whom? (2022) (17)
- Accurate Leakage Estimation for FinFET Standard Cells Using the Response Surface Methodology (2012) (17)
- Detection of multiple input bridging and stuck-on faults in CMOS logic circuits using current monitoring (1990) (17)
- Design of multiprocessor systems for concurrent error detection and fault diagnosis (1991) (17)
- Enabling advanced inference on sensor nodes through direct use of compressively-sensed signals (2012) (17)
- A Drug Repurposing Approach Towards Elucidating the Potential of Flavonoids as COVID-19 Spike Protein Inhibitors (2020) (16)
- Low-power 3D nano/CMOS hybrid dynamically reconfigurable architecture (2010) (16)
- STEERAGE: Synthesis of Neural Networks Using Architecture Search and Grow-and-Prune Methods (2019) (16)
- A hybrid Nano/CMOS dynamically reconfigurable system—Part II: Design optimization flow (2009) (16)
- An Economics-based Power-aware Protocol for Computation Distribution in Mobile Ad-Hoc Networks (2002) (16)
- Graceful degradation in algorithm-based fault tolerant multiprocessor systems (1994) (16)
- Attacking and Defending a Diabetes Therapy System (2014) (16)
- Controller-based power management for control-flow intensive designs (1999) (16)
- Diagnosability and Diagnosis of Algorithm-Based Fault-Tolerant Systems (1993) (16)
- Behavioral synthesis of fault secure controller/datapaths using aliasing probability analysis (1996) (15)
- Recent trends of NFκB decoy oligodeoxynucleotide-based nanotherapeutics in lung diseases. (2021) (15)
- Profiling driven computation reuse: an embedded software synthesis technique for energy and performance optimization (2004) (15)
- SYSTEMATIC CODE FOR DETECTING T-UNIDIRECTIONAL ERRORS. (1987) (15)
- A simulation framework for energy-consumption analysis of OS-driven embedded applications (2003) (15)
- RTL-Aware Cycle-Accurate Functional Power Estimation (2006) (15)
- Environmental Factors-Induced Oxidative Stress: Hormonal and Molecular Pathway Disruptions in Hypogonadism and Erectile Dysfunction (2021) (15)
- Dioscin: A review on pharmacological properties and therapeutic values (2021) (15)
- Synthesis of Algorithm-Based Fault-Tolerant Systems from Dependence Graphs (1993) (15)
- Efficient Methodologies for 3-D TCAD Modeling of Emerging Devices and Circuits (2013) (15)
- ABC transporters in neurological disorders: an important gateway for botanical compounds mediated neuro-therapeutics. (2019) (15)
- Current Trends and Future Prospects of Nanotechnology in Biofuel Production (2021) (15)
- Impact of configurability and extensibility on IPSec protocol execution on embedded processors (2006) (15)
- Antiproliferative effect of Moringa oleifera methanolic leaf extract by down-regulation of Notch signaling in DU145 prostate cancer cells (2020) (15)
- Development of mushroom polysaccharide and probiotics based solid self-nanoemulsifying drug delivery system loaded with curcumin and quercetin to improve their dissolution rate and permeability: State of the art. (2021) (15)
- Nutraceuticals: unlocking newer paradigms in the mitigation of inflammatory lung diseases. (2021) (15)
- Can Echinacea be a potential candidate to target immunity, inflammation, and infection - The trinity of coronavirus disease 2019 (2021) (15)
- High-level synthesis of distributed logic-memory architectures (2002) (15)
- Hybrid Architectures for Efficient and Secure Face Authentication in Embedded Systems (2007) (14)
- Functional partitioning for low power distributed systems of systems-on-a-chip (2002) (14)
- Role of oxidative stress, ER stress and ubiquitin proteasome system in neurodegeneration (2014) (14)
- SPRING: A Sparsity-Aware Reduced-Precision Monolithic 3D CNN Accelerator Architecture for Training and Inference (2019) (14)
- GenFin: Genetic Algorithm-Based Multiobjective Statistical Logic Circuit Optimization Using Incremental Statistical Analysis (2016) (14)
- Design of C-testable DCVS binary array dividers (1991) (14)
- Nanotherapeutic approaches to target mitochondria in cancer. (2021) (14)
- Fault detection in CVS parity trees: application in SSC CVS parity and two-rail checkers (1989) (14)
- Register binding-based RTL power management for control-flow intensive designs (2004) (14)
- Energy-Efficient Monolithic Three-Dimensional On-Chip Memory Architectures (2018) (13)
- Testing of differential cascode voltage switch one-count generators (1990) (13)
- Nanoparticulate RNA delivery systems in cancer (2020) (13)
- A new transition count method for testing of logic circuits (1991) (13)
- Active Learning Driven Data Acquisition for Sensor Networks (2006) (13)
- SHARKS: Smart Hacking Approaches for RisK Scanning in Internet-of-Things and Cyber-Physical Systems Based on Machine Learning (2021) (13)
- Memory binding for performance optimization of control-flow intensive behaviors (1999) (13)
- 3D vs. 2D Device Simulation of FinFET Logic Gates under PVT Variations (2014) (13)
- An automatic test pattern generation framework for combinational threshold logic networks (2004) (13)
- FinFET-Based Power Management for Improved DPA Resistance with Low Overhead (2011) (13)
- Three-Dimensional Monolithic FinFET-Based 8T SRAM Cell Design for Enhanced Read Time and Low Leakage (2019) (13)
- Low Power Distributed Embedded Systems: Dynamic Voltage Scaling and Synthesis (2002) (13)
- Synthesis of Quantum Circuits for Dedicated Physical Machine Descriptions (2015) (13)
- Fast high-level power estimation for control-flow intensive designs (1998) (13)
- A defense framework against malware and vulnerability exploits (2014) (13)
- Dynamic Power Optimization Targeting User Delays in Interactive Systems (2006) (13)
- Controller re-specification to minimize switching activity in controller/data path circuits (1996) (13)
- ALLCN: an automatic logic-to-layout tool for carbon nanotube based nanotechnology (2005) (12)
- Ultra-High Density Monolithic 3-D FinFET SRAM With Enhanced Read Stability (2016) (12)
- Pragmatic design of gated-diode FinFET DRAMs (2009) (12)
- COWLS: hardware-software cosynthesis of wireless low-power distributed embedded client-server systems (2004) (12)
- Making buildings energy-efficient through retrofits: A survey of available technologies (2013) (12)
- Fin Prin: Analysis and Optimization of FinFET Logic Circuits under PVT Variations (2013) (12)
- A universal test set for CMOS circuits (1988) (12)
- A system-level perspective for efficient NoC design (2008) (12)
- Synthesis of sequential circuits for easy testability through performance-oriented parallel partial scan (1993) (12)
- FACT: a framework for the application of throughput and power optimizing transformations to control-flow intensive behavioral descriptions (1998) (12)
- Software-Defined Design Space Exploration for an Efficient DNN Accelerator Architecture (2019) (12)
- TECHNIQUES FOR EFFICIENT MOS IMPLEMENTATION OF TOTALLY SELF-CHECKING CHECKERS. (1985) (12)
- CRISPR/Cas9 gene editing: New hope for Alzheimer's disease therapeutics (2021) (12)
- Anticancer Applications and Pharmacological Properties of Piperidine and Piperine: A Comprehensive Review on Molecular Mechanisms and Therapeutic Perspectives (2022) (12)
- Mechanistic Role of HPV-Associated Early Proteins in Cervical Cancer: Molecular Pathways and Targeted Therapeutic Strategies. (2022) (12)
- TAO-BIST: a framework for testability analysis and optimization of RTL circuits for BIST (1999) (11)
- Bracing NK cell based therapy to relegate pulmonary inflammation in COVID-19 (2021) (11)
- Satisfiability-based Framework for Enabling Side-channel Attacks on Cryptographic Software (2006) (11)
- Automatic Test Generation for Combinational Threshold Logic Networks (2008) (11)
- Variable-Pipeline-Stage Router (2013) (11)
- Recent updates on animal models for understanding the etiopathogenesis of polycystic ovarian syndrome. (2021) (11)
- An algorithm for nano-pipelining of circuits and architectures for a nanotechnology (2004) (11)
- Overcoming drug delivery barriers and challenges in topical therapy of atopic dermatitis: A nanotechnological perspective. (2022) (11)
- The FBXW7‐NOTCH interactome: A ubiquitin proteasomal system‐induced crosstalk modulating oncogenic transformation in human tissues (2021) (11)
- Testing of Digital Systems: Delay fault testing (2003) (11)
- Genetic Programming for Energy-Efficient and Energy-Scalable Approximate Feature Computation in Embedded Inference Systems (2018) (11)
- FDR 2.0: A Low-Power Dynamically Reconfigurable Architecture and Its FinFET Implementation (2015) (11)
- Interplay between Dysbiosis of Gut Microbiome, Lipid Metabolism, and Tumorigenesis: Can Gut Dysbiosis Stand as a Prognostic Marker in Cancer? (2022) (11)
- Energy estimation for extensible processors (2003) (11)
- Rutin Mediated Apoptotic Cell Death in Caski Cervical Cancer Cells via Notch-1 and Hes-1 Downregulation (2021) (11)
- Pharmacological Properties, Therapeutic Potential and Molecular Mechanisms of JWH133, a CB2 Receptor-Selective Agonist (2021) (11)
- Nuclear factor-kappa B (NF-κB) inhibition as a therapeutic target for plant nutraceuticals in mitigating inflammatory lung diseases. (2022) (10)
- Memory binding for performance optimization of control-flow intensive behavioral descriptions (2005) (10)
- Transforming control-flow intensive designs to facilitate power management (1998) (10)
- DESIGN OF TOTALLY SELF-CHECKING EMBEDDED CHECKERS. (1984) (10)
- GRAVITAS: Graphical Reticulated Attack Vectors for Internet-of-Things Aggregate Security (2021) (10)
- Design and Synthesis of Self-Checkmg VLSI Circuits (1993) (10)
- NanoMap: An Integrated Design Optimization Flow for a Hybrid Nanotube/CMOS Dynamically Reconfigurable Architecture (2007) (10)
- Low-Power FinFET design schemes for NOR address decoders (2010) (10)
- Configuration and Extension of Embedded Processors to Optimize IPSec Protocol Execution (2007) (10)
- Unsatisfiability based efficient design for testability solution for register-transfer level circuits (2005) (10)
- TLR-Mediated Signal Transduction and Neurodegenerative Disorders (2021) (10)
- Expanding the arsenal against pulmonary diseases using surface-functionalized polymeric micelles: breakthroughs and bottlenecks. (2022) (10)
- A t-unidirectional error-detecting systematic code (1988) (10)
- Multiple roles for basement membrane proteins in cancer progression and EMT. (2022) (10)
- Evaluation of multiple supply and threshold voltages for low-power FinFET circuit synthesis (2008) (10)
- Hybrid Monolithic 3-D IC Floorplanner (2018) (10)
- Synthesis of heterogeneous distributed architectures for memory-intensive applications (2003) (10)
- Re-establishing the comprehension of phytomedicine and nanomedicine in inflammation-mediated cancer signaling. (2022) (10)
- DESP: a distributed economics-based subcontracting protocol for computation distribution in power-aware mobile ad hoc networks (2004) (10)
- FinFET Logic Circuit Optimization with Different FinFET Styles: Lower Power Possible at Higher Supply Voltage (2014) (9)
- Simultaneous dynamic voltage scaling of processors and communication links in real-time distributed embedded systems (2003) (9)
- Interleukin-13: A pivotal target against influenza-induced exacerbation of chronic lung diseases. (2021) (9)
- DISASTER: Dedicated Intelligent Security Attacks on Sensor-Triggered Emergency Responses (2017) (9)
- FACT: a framework for applying throughput and power optimizing transformations to control-flow-intensive behavioral descriptions (1999) (9)
- Unravelling the multi-faceted regulatory role of polyamines in plant biotechnology, transgenics and secondary metabolomics (2022) (9)
- Role of HGF/MET in liver cancer. (2021) (9)
- Hierarchical Test Generation And Design For Testability Of ASPPs and ASIPs (1997) (9)
- McPAT-Monolithic: An Area/Power/Timing Architecture Modeling Framework for 3-D Hybrid Monolithic Multicore Systems (2020) (9)
- A hybrid nano-CMOS architecture for defect and fault tolerance (2009) (9)
- Effects of curcumin-loaded poly(lactic-co-glycolic acid) nanoparticles in MDA-MB231 human breast cancer cells. (2021) (9)
- The science of matcha: Bioactive compounds, analytical techniques and biological properties (2021) (9)
- Compressed Signal Processing on Nyquist-Sampled Signals (2016) (9)
- Recent Advances in Cardiac Tissue Engineering for the Management of Myocardium Infarction (2021) (9)
- Nootkatone, a Dietary Fragrant Bioactive Compound, Attenuates Dyslipidemia and Intramyocardial Lipid Accumulation and Favorably Alters Lipid Metabolism in a Rat Model of Myocardial Injury: An In Vivo and In Vitro Study (2020) (9)
- Development and validation of RP-HPLC method for 1΄-Acetoxychavicol acetate (ACA) and its application in optimizing the yield of ACA during its isolation from Alpinia galanga extract as well as its quantification in nanoemulsion (2021) (9)
- COWLS: hardware-software co-synthesis of distributed wireless low-power embedded client-server systems (2000) (9)
- Enhancing security through hardware-assisted run-time validation of program data properties (2005) (9)
- Design of Quantum Circuits for Random Walk Algorithms (2012) (9)
- Altered glucose metabolism in Alzheimer's disease: Role of mitochondrial dysfunction and oxidative stress. (2022) (9)
- TESTABLE CMOS LOGIC CIRCUITS UNDER DYNAMIC BEHAVIOR. (1984) (9)
- Toward a chimeric vaccine against multiple isolates of Mycobacteroides - An integrative approach. (2020) (9)
- Fault-tolerant computer system design [Book Reviews] (1996) (9)
- Biotechnology of camptothecin production in Nothapodytes nimmoniana, Ophiorrhiza sp. and Camptotheca acuminata (2021) (8)
- High-Level Synthesis Algorithms for Power and Temperature Minimization (2008) (8)
- Input space adaptive design: a high-level methodology for energy and performance optimization (2001) (8)
- Design space exploration and data memory architecture design for a hybrid nano/CMOS dynamically reconfigurable architecture (2009) (8)
- Inhalation delivery of repurposed drugs for lung cancer: Approaches, benefits and challenges. (2021) (8)
- Serratiopeptidase, A Serine Protease Anti-Inflammatory, Fibrinolytic, and Mucolytic Drug, Can Be a Useful Adjuvant for Management in COVID-19 (2021) (8)
- High-level test synthesis: a survey (1998) (8)
- Neoechinulins: Molecular, cellular, and functional attributes as promising therapeutics against cancer and other human diseases. (2021) (8)
- Register binding based power management for high-level synthesis of control-flow intensive behaviors (2002) (8)
- Recent Advances in Chronotherapy Targeting Respiratory Diseases (2021) (8)
- A framework for testing core-based systems-on-a-chip (1999) (8)
- Health Benefits, Pharmacological Effects, Molecular Mechanisms, and Therapeutic Potential of α-Bisabolol (2022) (8)
- Betelvine (Piper betle L.): A comprehensive insight into its ethnopharmacology, phytochemistry, and pharmacological, biomedical and therapeutic attributes (2022) (8)
- Internet-of-Medical-Things (2017) (8)
- Removal of memory access bottlenecks for scheduling control-flow intensive behavioral descriptions (1998) (8)
- Energy-optimizing source code transformations for OS-driven embedded software (2004) (8)
- Simultaneously ensuring smartness, security, and energy efficiency in Internet-of-Things sensors (2018) (8)
- OpSecure: A Secure Unidirectional Optical Channel for Implantable Medical Devices (2018) (8)
- Reliability and security of implantable and wearable medical devices (2015) (8)
- MHDeep: Mental Health Disorder Detection System Based on Wearable Sensors and Artificial Neural Networks (2022) (8)
- Immunotherapy for Alzheimer’s Disease: Current Scenario and Future Perspectives (2021) (8)
- Unraveling the promise and limitations of CRISPR/Cas system in natural product research: Approaches and challenges (2021) (8)
- Recent Progress in Development of Dressings Used for Diabetic Wounds with Special Emphasis on Scaffolds (2022) (8)
- Hardware-Guided Symbiotic Training for Compact, Accurate, yet Execution-Efficient LSTM (2019) (8)
- Testing of Digital Systems: Index (2003) (8)
- Fault Detection in CVS Parity Trees with Application to Strongly Self-Checking Parity and Two-Rail Checkers (1993) (7)
- Secure reconfiguration of software-defined radio (2012) (7)
- Threshold/majority logic synthesis and concurrent error detection targeting nanoelectronic implementations (2006) (7)
- Molecular Insights into Therapeutic Potentials of Hybrid Compounds Targeting Alzheimer’s Disease (2022) (7)
- Cytokinins: A Genetic Target for Increasing Yield Potential in the CRISPR Era (2022) (7)
- Fault-diagnosis-based technique for establishing RTL and gate-levelcorrespondences (2001) (7)
- Unravelling the molecular mechanisms underlying chronic respiratory diseases for the development of novel therapeutics via in vitro experimental models. (2022) (7)
- Synthesis, In Silico Study, and Anti-Cancer Activity of Thiosemicarbazone Derivatives (2021) (7)
- Middle East Respiratory Syndrome (MERS) Virus—Pathophysiological Axis and the Current Treatment Strategies (2021) (7)
- Towards a responsive, yet power-efficient, operating system: a holistic approach (2005) (7)
- Development and validation of RP-HPLC based bioanalytical method for simultaneous estimation of curcumin and quercetin in rat's plasma (2021) (7)
- TUTOR: Training Neural Networks Using Decision Rules as Model Priors (2020) (7)
- CRISPR/Cas genome-editing toolkit to enhance salt stress tolerance in rice and wheat. (2022) (7)
- Synthesis of Sequential Circuits for Robust Path Delay Fault Testability (1993) (7)
- Molecular mechanisms of developmental pathways in neurological disorders: a pharmacological and therapeutic review (2022) (7)
- Automated Quantum Circuit Synthesis and Cost Estimation for the Binary Welded Tree Oracle (2017) (7)
- Alzheimer's disease-like perturbations in HIV-mediated neuronal dysfunctions: understanding mechanisms and developing therapeutic strategies (2020) (7)
- Design space exploration of FinFET cache (2013) (7)
- A Monolithic 3D Hybrid Architecture for Energy-Efficient Computation (2018) (7)
- Machine Learning Assisted Security Analysis of 5G-Network-Connected Systems (2021) (7)
- A 3-D CPU-FPGA-DRAM Hybrid Architecture for Low-Power Computation (2016) (7)
- MACHETE: synthesis of sequential machines for easy testability (1991) (7)
- Nutraceuticals and mitochondrial oxidative stress: bridging the gap in the management of bronchial asthma (2022) (6)
- FlexiBERT: Are Current Transformer Architectures too Homogeneous and Rigid? (2022) (6)
- Reducing test application time in high-level test generation (2000) (6)
- Applications of drug-delivery systems targeting inflammasomes in pulmonary diseases. (2021) (6)
- FinFET-based power simulator for interconnection networks (2010) (6)
- Variability-Tolerant Register-Transfer Level Synthesis (2008) (6)
- Mitochondrial dysfunctions associated with chronic respiratory diseases and their targeted therapies: an update. (2021) (6)
- Localized Heating for Building Energy Efficiency (2013) (6)
- Improving Convergence and Simulation Time of Quantum Hydrodynamic Simulation: Application to Extraction of Best 10-nm FinFET Parameter Values (2017) (6)
- Virtualization-assisted Framework for Prevention of Software Vulnerability Based Security Attacks (2007) (6)
- Cellular landscaping of cisplatin resistance in cervical cancer. (2022) (6)
- Multi-sensor Targets Data Association and Track Fusion Based on Novel AWFCM (2009) (6)
- Harnessing the therapeutic potential of fisetin and its nanoparticles: Journey so far and road ahead. (2022) (6)
- Stinging Nettle (Urtica dioica L.): Nutritional Composition, Bioactive Compounds, and Food Functional Properties (2022) (6)
- Resource budgeting for Multiprocess High-level synthesis (2004) (6)
- Activation of TWEAK/Fn14 signaling suppresses TRAFs/NF-?B pathway in the pathogenesis of cancer (2021) (6)
- Nanomaterials in Alzheimer's disease treatment: a comprehensive review. (2021) (6)
- Targeting eosinophils in respiratory diseases: Biological axis, emerging therapeutics and treatment modalities. (2021) (6)
- Ultra-low-leakage chip multiprocessor design with hybrid FinFET logic styles (2014) (6)
- Test synthesis of systems-on-a-chip (2002) (6)
- Protein and peptide delivery to lungs by using advanced targeted drug delivery. (2021) (6)
- Design of Algorithm-Based Fault-Tolerant Multiprocessor Systems for Concurrent Error Detection and Fault Diagnosis (1994) (6)
- A perspective review on medicinal plant resources for their antimutagenic potentials (2021) (6)
- Low-power finfet circuit design and synthesis under spatial and temporal variations (2012) (6)
- Advances in designing of polymeric micelles for biomedical application in brain related diseases. (2022) (6)
- Immunological Mechanisms of Vaccine-Induced Protection against SARS-CoV-2 in Humans (2021) (6)
- Exploring Software Partitions for Fast Security Processing on a Multiprocessor Mobile SoC (2007) (5)
- Introduction to Nanotechnology (2011) (5)
- Deciphering the SSR incidences across viral members of Coronaviridae family (2020) (5)
- Analytical Modeling of the SMART NoC (2017) (5)
- Efficient Synthesis of Compact Deep Neural Networks (2020) (5)
- Homology Modelling, Molecular Docking and Molecular Dynamics Simulation Studies of CALMH1 against Secondary Metabolites of Bauhinia variegata to Treat Alzheimer’s Disease (2022) (5)
- Spatiotemporal chromatin dynamics ‐ A telltale of circadian epigenetic gene regulation (2019) (5)
- Short Chain Fatty Acids: Fundamental mediators of the gut-lung axis and their involvement in pulmonary diseases. (2022) (5)
- Task graph transformation to aid system synthesis (2002) (5)
- Quantum dots: The cutting-edge nanotheranostics in brain cancer management. (2022) (5)
- Secure Embedded Processing through Hardware-assisted (2005) (5)
- Phytoremediation and sequestration of soil metals using the CRISPR/Cas9 technology to modify plants: a review (2022) (5)
- Design of sufficiently strongly self-checking embedded checkers for systematic and separable codes (1989) (5)
- Self-nanoemulsifying drug delivery system (SNEDDS) mediated improved oral bioavailability of thymoquinone: optimization, characterization, pharmacokinetic, and hepatotoxicity studies (2022) (5)
- D-ɑ-tocopheryl polyethylene glycol succinate: A review of multifarious applications in nanomedicines (2022) (5)
- A Hierarchical Health Decision Support System Based on Wearable Medical Sensors and Machine Learning Ensembles (2017) (5)
- Synthesis of power-optimized and area-optimized circuits from hierarchical behavioral descriptions (1998) (5)
- Fault-Tolerant Computing Using a Hybrid Nano-CMOS Architecture (2008) (5)
- Microfluidic Platforms to Unravel Mysteries of Alzheimer’s Disease: How Far Have We Come? (2021) (5)
- Advances in pulmonary drug delivery targeting microbial biofilms in respiratory diseases. (2021) (5)
- Switching and Finite Automata Theory: Linear sequential machines (2009) (5)
- High-level test compaction techniques (2002) (5)
- A Secure User Interface for Web Applications Running Under an Untrusted Operating System (2010) (5)
- An Appraisal of the Current Scenario in Vaccine Research for COVID-19 (2021) (5)
- MOLECULAR DOCKING STUDIES FOR THE COMPARATIVE ANALYSIS OF DIFFERENT BIOMOLECULES TO TARGET HYPOXIA INDUCIBLE FACTOR-1α (2017) (4)
- Beneficial Role of Selenium (Se) Biofortification in Developing Resilience Against Potentially Toxic Metal and Metalloid Stress in Crops: Recent Trends in Genetic Engineering and Omics Approaches (2022) (4)
- Autoantibodies and autoimmune disorders in SARS-CoV-2 infection: pathogenicity and immune regulation (2022) (4)
- Design of Algorithm-Based Fault Tolerant Systems with In-System Checks (1993) (4)
- Journey of Alpinia galanga from kitchen spice to nutraceutical to folk medicine to nanomedicine. (2022) (4)
- Easily testable nonrestoring and restoring gate-level cellular array dividers (1993) (4)
- Techniques for efficiently implementing totally self-checking checkers in MOS te (1987) (4)
- Software Architectural Transformations (2003) (4)
- TCAD-Assisted Capacitance Extraction of FinFET SRAM and Logic Arrays (2016) (4)
- Bioactive Compounds from Zingiber montanum and Their Pharmacological Activities with Focus on Zerumbone (2021) (4)
- A personal-area network of low-power wireless interfacing devices for handhelds: system and hardware design (2005) (4)
- Eliminating memory bottlenecks for a JPEG encoder through distributed logic-memory architecture and computation-unit integrated memory (2005) (4)
- 2018 IEEE International Conference on Consumer Electronics (ICCE) (2018) (4)
- Targeting intercellular adhesion molecule-1 (ICAM-1) to reduce rhinovirus-induced acute exacerbations in chronic respiratory diseases (2022) (4)
- Treatment of chronic airway diseases using nutraceuticals: Mechanistic insight (2021) (4)
- Hybrid custom instruction and co-processor synthesis methodology for extensible processors (2006) (4)
- Switching and Finite Automata Theory: Finite-state recognizers (2009) (4)
- Exploring role of polysaccharides present in Ganoderma lucidium extract powder and probiotics as solid carriers in development of liquisolid formulation loaded with quercetin: A novel study. (2021) (4)
- A totally self-checking checker for a parallel unordered coding scheme (1992) (4)
- Generation of distributed logic-memory architectures through high-level synthesis (2005) (4)
- Analysis and Randomized Design of Algorithm-Based Fault Tolerant Multiprocessor Systems Under an Extended Model (1997) (4)
- CODEBench: A Neural Architecture and Hardware Accelerator Co-Design Framework (2022) (4)
- Optimal Design of Checks for Error Detection and Location in Fault Tolerant Multiprocessors Systems (1991) (4)
- Input space adaptive embedded software synthesis (2002) (4)
- Cross talk mechanism of disturbed sleep patterns in neurological and psychological disorders (2022) (4)
- Unravelling the Therapeutic Potential of Botanicals Against Chronic Obstructive Pulmonary Disease (COPD): Molecular Insights and Future Perspectives (2022) (4)
- Thermal Characterization of Test Techniques for FinFET and 3D Integrated Circuits (2013) (4)
- ROCK2 inhibition: A futuristic approach for the management of Alzheimer’s disease (2022) (4)
- A Framework for Extensible Processor Based MPSoC Design (2007) (4)
- Phytomedicines Targeting Cancer Stem Cells: Therapeutic Opportunities and Prospects for Pharmaceutical Development (2021) (4)
- Mitochondrial defects: An emerging theranostic avenue towards Alzheimer's associated dysregulations. (2021) (4)
- Synthesis of fault tolerant architectures for molecular dynamics (1994) (4)
- Convolutional Autoencoder-Based Transfer Learning for Multi-Task Image Inferences (2022) (4)
- Novel Nanostructured Lipid Carriers Co-Loaded with Mesalamine and Curcumin: Formulation, Optimization and In Vitro Evaluation (2022) (4)
- Power-Aware Architectural Synthesis (2007) (4)
- Targeting LIN28: a new hope in prostate cancer theranostics. (2021) (3)
- Integrated functional partitioning and synthesis for low power distributed systems of systems-on-a-chip (2005) (3)
- High-Level Synthesis for Low Power (1998) (3)
- MHDeep: Mental Health Disorder Detection System based on Body-Area and Deep Neural Networks (2021) (3)
- A heterogeneous microprocessor for energy-scalable sensor inference using genetic programming (2017) (3)
- Delay/Power Modeling and Optimization of FinFET Circuit Modules under PVT Variations (2016) (3)
- Cytokinin and abiotic stress tolerance -What has been accomplished and the way forward? (2022) (3)
- A technique for identifying RTL and gate-level correspondences (2000) (3)
- A global comparison of implementation and effectiveness of materiovigilance program: overview of regulations (2021) (3)
- Advanced drug delivery systems targeting NF-κB in respiratory diseases. (2021) (3)
- Efficient diagnosis in algorithm-based fault tolerant multiprocessor systems (1993) (3)
- Can dextran-based nanoparticles mitigate inflammatory lung diseases? (2021) (3)
- Ultra-Low-Leakage and High-Performance Logic Circuit Design Using Multiparameter Asymmetric FinFETs (2016) (3)
- Use of Computation-Unit Integrated Memories in High-Level Synthesis (2006) (3)
- A new class of symmetric error correcting/unidirectional error detecting codes (1988) (3)
- Expanding arsenal against diabetes mellitus through nanoformulations loaded with glimepiride and simvastatin: A comparative study (2021) (3)
- Female gender as a risk factor for developing COPD (2021) (3)
- Fast Enhancement of Validation Test Sets for Improving the Stuck-at Fault Coverage of RTL Circuits (2009) (3)
- Antioxidants in brain tumors: current therapeutic significance and future prospects (2022) (3)
- Translational aspect in peptide drug discovery and development: An emerging therapeutic candidate (2022) (3)
- High-level synthesis using computation-unit integrated memories (2004) (3)
- CRISPR/Cas9 system in breast cancer therapy: advancement, limitations and future scope (2022) (3)
- Rediscovering the Therapeutic Potential of Agarwood in the Management of Chronic Inflammatory Diseases (2022) (3)
- TEST GENERATION FRAMEWORK FOR COMBINATIONAL QUANTUM CELLULAR AUTOMATA CIRCUITS (2007) (3)
- Ultra-low-leakage, Robust FinFET SRAM Design Using Multiparameter Asymmetric FinFETs (2016) (3)
- Thermal characterization of BIST, scan design and sequential test methodologies (2009) (3)
- Drug repurposing: An emerging strategy in alleviating skin cancer. (2022) (3)
- Efficient Design for Testability Solution Based on Unsatisfiability for Register-Transfer Level Circuits (2007) (3)
- Recent developments, challenges and future prospects in advanced drug delivery systems in the management of tuberculosis (2022) (3)
- gem5-PVT (2015) (2)
- Energy-efficient mobile system design: the user's perspective (2005) (2)
- Statistical Optimization of FinFET Processor Architectures under PVT Variations Using Dual Device-Type Assignment (2017) (2)
- Data-driven techniques for hardware and software synthesis for embedded systems (2004) (2)
- AccelTran: A Sparsity-Aware Accelerator for Dynamic Inference with Transformers (2023) (2)
- Robustly testable static CMOS parity trees derived from binary decision diagrams (1991) (2)
- TCAD structure synthesis and capacitance extraction of a voltage-controlled oscillator using automated layout-to-device synthesis methodology (2014) (2)
- Fast Enhancement of Validation Test Sets to Improve Stuck-at Fault Coverage for RTL circuits (2007) (2)
- Wavesched: A Novel Scheduling Technique For Control-flow Behavioral Descriptions (1997) (2)
- YSUY: Your Smartphone Understands You—Using Machine Learning to Address Fundamental Human Needs (2021) (2)
- Fast Design Space Exploration of Nonlinear Systems: Part I (2021) (2)
- RMDDS (2014) (2)
- Energy Conservation in Wireless Sensor Networks Using Data Reduction Approaches: A Survey (2013) (2)
- Heterogeneous and multi-level compression techniques for test volume reduction in systems-on-chip (2005) (2)
- TAO-BIST: A framework for testability analysis and optimization forbuilt-in self-test of RTL circuits (2000) (2)
- Common-case computation: a high-level energy and performance optimization technique (2004) (2)
- Language Selection for Mobile Systems: Java, C, or Both? (2004) (2)
- Fast FinFET Device Simulation under Process-Voltage Variations Using an Assisted Speed-Up Mechanism (2016) (2)
- Improving the performance of automatic sequential test generation by targeting hard-to-test faults (2006) (2)
- Switching and Finite Automata Theory: Minimization of switching functions (2009) (2)
- Computer-aided design algorithms and tools for nanotechnologies (2006) (2)
- Clock selection for performance optimization of control-flow intensive behaviors (2000) (2)
- In silico identification of potential inhibitor for TP53-induced glycolysis and apoptosis regulator in head and neck squamous cell carcinoma (2021) (2)
- A framework for defending embedded systems against software attacks (2011) (2)
- CURIOUS: Efficient Neural Architecture Search Based on a Performance Predictor and Evolutionary Search (2022) (2)
- Design of robustly testable static CMOS parity trees derived from binary decision diagrams (1990) (2)
- Unravelling the molecular mechanism of mutagenic factors impacting human health (2021) (2)
- A Hybrid Nano/CMOS Dynamically Reconfigurable System (2011) (2)
- TOTALLY SELF-CHECKING CMOS CIRCUITS USING A HYBRID REALIZATION. (1985) (2)
- Pharmacological potential of JWH133, a cannabinoid type 2 receptor agonist in neurodegenerative, neurodevelopmental and neuropsychiatric diseases. (2021) (2)
- Traditional uses, phytochemistry, pharmacology and toxicology of garlic (Allium sativum), a storehouse of diverse phytochemicals: A review of research from the last decade focusing on health and nutritional implications (2022) (2)
- Recent Trends in rationally designed molecules as kinase inhibitors. (2021) (2)
- Effect of Environmental Stressors, Xenobiotics, and Oxidative Stress on Male Reproductive and Sexual Health. (2022) (2)
- The soil bacterium, Corynebacterium glutamicum, from biosynthesis of value-added products to bioremediation: A master of many trades. (2022) (2)
- Targeting endoplasmic reticulum stress using natural products in neurological disorders (2022) (2)
- Nanoparticulate drugs and vaccines: Breakthroughs and bottlenecks of repurposing in breast cancer. (2022) (2)
- Targeting inflammation: a potential approach for the treatment of depression (2022) (2)
- Polyester nanomedicines targeting inflammatory signaling pathways for cancer therapy. (2022) (2)
- Differential Transcriptome Profiling Unveils Novel Deregulated Gene Signatures Involved in Pathogenesis of Alzheimer’s Disease (2022) (2)
- Implications of Microorganisms in Alzheimer’s Disease (2022) (2)
- Cytotoxic T-lymphocyte elicited therapeutic vaccine candidate targeting cancer against MAGE-A11 carcinogenic protein (2020) (2)
- Bacteriospermia and Male Infertility: Role of Oxidative Stress. (2022) (2)
- Neuropharmacological interventions of quercetin and its derivatives in neurological and psychological disorders (2022) (2)
- Overcoming hydrolytic degradation challenges in topical delivery: non-aqueous nano-emulsions (2021) (2)
- Targeting mucus barrier in respiratory diseases by chemically modified advanced delivery systems. (2022) (2)
- Mitigating inflammation using advanced drug delivery by targeting TNF-α in lung diseases. (2021) (2)
- Phytotherapy for Attention Deficit Hyperactivity Disorder (ADHD): A Systematic Review and Meta-analysis (2022) (2)
- Metabolic Dysregulation and Sperm Motility in Male Infertility. (2022) (2)
- Inflammation-Targeted Nanomedicine against Brain Cancer: From Design Strategies to Future Developments. (2022) (2)
- Therapeutic Antiaging Strategies (2022) (2)
- Pharmacological and Molecular Insight on the Cardioprotective Role of Apigenin (2023) (2)
- Biomedical Applications of polymeric micelles in the treatment of diabetes mellitus: Current success and future approaches (2022) (2)
- Correlation Between Visual Prostate Symptom Score and Uroflowmetry Parameters in Patients with Benign Enlargement of Prostate (2017) (2)
- Synthesis and Characterization of Biocompatible Bimetallic-Semi-Aromatic Polyester Hybrid Nanocomposite (2021) (2)
- Biological databases and tools for neurological disorders. (2022) (2)
- Biotechnological interventions and indole alkaloid production in Rauvolfia serpentina (2022) (2)
- Role of Polyamines in Molecular Regulation and Cross-Talks Against Drought Tolerance in Plants (2022) (2)
- Repurposing Dimethyl Fumarate for Cardiovascular Diseases: Pharmacological Effects, Molecular Mechanisms, and Therapeutic Promise (2022) (2)
- Input space adaptive design: a high-level methodology for optimizing energy and performance (2004) (2)
- Alterations in Metabolite-Driven Gene Regulation in Cancer Metabolism (2020) (1)
- Microbial determinants of arthritis (2020) (1)
- Molecular Mechanism and Role of Japanese Encephalitis Virus Infection in Central Nervous System-Mediated Diseases (2022) (1)
- Task Allocation for Safety and Reliability in Distributed Systems (1995) (1)
- Repurposing chia seed oil: A versatile novel functional food. (2022) (1)
- Embedded Reconfigurable Augmented DC-DC Boost Converter for Fast Transient Recovery (2013) (1)
- Nanotechnology-based drug delivery for the treatment of CNS disorders (2022) (1)
- Size-based Degradation of Therapeutic Proteins - Mechanisms, Modelling and Control (2021) (1)
- Nanotechnology-based therapeutic formulations in the battle against animal coronaviruses: an update (2021) (1)
- IGF-1 and GLP-1 signaling: potential therapeutic target for neurological disorders – correspondence (2023) (1)
- EdgeTran: Co-designing Transformers for Efficient Inference on Mobile Edge Platforms (2023) (1)
- Mutational heterogeneity in spike glycoproteins of severe acute respiratory syndrome coronavirus 2 (2021) (1)
- Comments on 'A MOS implementation of totally self-checking checker for the 1-out-of-3 code' (1989) (1)
- An in silico study on plant-derived inhibitors against a prognostic Biomarker, Jab1 (2019) (1)
- Current Trends of Computational Tools in Geriatric Medicine and Frailty Management. (2022) (1)
- Ascendancy of unfolded protein response over glioblastoma: estimating progression, prognosis and survival (2022) (1)
- DISPATCH: Design Space Exploration of Cyber-Physical Systems (2020) (1)
- Antimicrobial Interfaces as Augmentative Strategy Against Antimicrobial Resistance (2022) (1)
- Clinical Potential of Himalayan Herb Bergenia ligulata: An Evidence-Based Study (2022) (1)
- Evaluation of Antidiabetic, Antioxidant and Anti-Hyperlipidemic Effects of Solanum indicum Fruit Extract in Streptozotocin-Induced Diabetic Rats (2023) (1)
- Nanotheranostics to Target Antibiotic-resistant Bacteria: Strategies and Applications (2023) (1)
- α-Bisabolol Attenuates Doxorubicin Induced Renal Toxicity by Modulating NF-κB/MAPK Signaling and Caspase-Dependent Apoptosis in Rats (2022) (1)
- Embedded and Ubiquitous Computing: International Conference EUC 2004, Aizu-Wakamatsu City, Japan, August 25-27, 2004, Proceedings (Lecture Notes in Computer Science) (2004) (1)
- Testing of Digital Systems: Fault diagnosis (2003) (1)
- Advanced drug delivery approaches in managing TGF-β-mediated remodeling in lung diseases. (2021) (1)
- Clinical Relevance of “Biomarkers” in Cancer Metabolism (2020) (1)
- Design and Analysis of Algorithm-Based Fault Tolerant Multiprocessor Systems (1994) (1)
- Using a Device State Library to Boost the Performance of TCAD Mixed-Mode Simulation (2017) (1)
- Therapeutic properties and pharmacological activities of asiaticoside and madecassoside: A review (2023) (1)
- INVISIOS: A Lightweight, Minimally Intrusive Secure Execution Environment (2012) (1)
- Switching and Finite Automata Theory: Asynchronous sequential circuits (2009) (1)
- State encoding of finite-state machines targeting threshold and majority logic based implementations with application to nanotechnologies (2006) (1)
- CTRL: Clustering Training Losses for Label Error Detection (2022) (1)
- An Energy-Aware Synthesis Methodology for OS-Driven Multi-Process Embedded Software (2004) (1)
- Piper longum L.: A comprehensive review on traditional uses, phytochemistry, pharmacology, and health‐promoting activities (2022) (1)
- Preventive role of Sapindus species in different neurological and metabolic disorders (2022) (1)
- SECRET: Semantically Enhanced Classification of Real-World Tasks (2019) (1)
- A Comparative Cross-Platform Meta-Analysis to Identify Potential Biomarker Genes Common to Endometriosis and Recurrent Pregnancy Loss (2021) (1)
- IEEE Circuits and Systems Society (2018) (1)
- Fast Design Space Exploration of Nonlinear Systems: Part II (2022) (1)
- Robot Design With Neural Networks, MILP Solvers and Active Learning (2020) (1)
- Detecting and Alleviating Stress with SoDA (2018) (1)
- Glutamatergic neurotransmission: A potential pharmacotherapeutic target for the treatment of cognitive disorders (2023) (1)
- SCouT: Synthetic Counterfactuals via Spatiotemporal Transformers for Actionable Healthcare (2022) (1)
- Testing Aspects of Nanotechnology Trends (2008) (1)
- Testing of Digital Systems: CMOS testing (2003) (1)
- No 2 p 38 MAPK and PI 3 K / AKT Signalling Cascades in Parkinson ’ s Disease (2019) (1)
- Pathogenesis of Viral Infections and Male Reproductive Health: An Evidence-Based Study. (2022) (1)
- CENTRAL ASIA: DEMOCRATIC DEFICIT AND CHALLENGES OF SUSTAINABLE DEVELOPMENT (2007) (1)
- Synthesis of system-on-a-chip for testability (2001) (1)
- Accurate Leakage/Delay Estimation for FinFET Standard Cells under PVT Variations using the Response Surface Methodology (2014) (1)
- Genome editing technologies, mechanisms and improved production of therapeutic phytochemicals: Opportunities and prospects (2022) (1)
- Robust testing of CMOS logic circuits (1989) (1)
- Self-checking DCVS circuits (1992) (1)
- Nutraceuticals and COVID‐19: A mechanistic approach toward attenuating the disease complications (2022) (1)
- Design and analysis of fault-detecting and fault-locating schedules for computation DAGs (1992) (1)
- Biotechnology for propagation and secondary metabolite production in Bacopa monnieri (2022) (1)
- Sub-threshold Computational Circuits for High-order Data-driven Analysis of Physiological Signals (2011) (1)
- Testing of Digital Systems: System-on-a-chip test synthesis (2003) (0)
- Switching and Finite Automata Theory: Logic design (2009) (0)
- Design and analysis of algorithm-based fault-tolerant systems under the extended graph-theoretic model (1994) (0)
- Molecular Pathogenesis and Treatment Approaches of COVID-19 – A Comprehensive Review (2020) (0)
- In vitro propagation and secondary metabolite production in Gloriosa superba L (2022) (0)
- Nanotechnology impacting probiotics and prebiotics: a paradigm shift in nutraceuticals technology. (2023) (0)
- Co-crystal nanoarchitectonics as an emerging strategy in attenuating cancer: Fundamentals and applications. (2022) (0)
- Epigenetics and Angiogenesis in Cancer (2016) (0)
- mTOR Signaling Disruption and Its Association with the Development of Autism Spectrum Disorder (2023) (0)
- Mutagenic factors in the environment impacting human and animal health (2022) (0)
- Advances in stromal cell therapy for management of Alzheimer’s disease (2022) (0)
- Limonene, a Monoterpene, Mitigates Rotenone-Induced Dopaminergic Neurodegeneration by Modulating Neuroinflammation, Hippo Signaling and Apoptosis in Rats (2023) (0)
- High-level energy analysis and optimization of operating system-driven embedded software (2004) (0)
- Molecular mechanism(s) of regulation(s) of c-MET/HGF signaling in head and neck cancer (2022) (0)
- Beneficial Role of Selenium (Se) Biofortification in Developing Resilience Against Potentially Toxic Metal and Metalloid Stress in Crops: Recent Trends in Genetic Engineering and Omics Approaches (2022) (0)
- A Totally Self-checking Checker (1989) (0)
- Epigenetic factors in breast cancer therapy (2022) (0)
- Multifaceted role of synbiotics as nutraceuticals, therapeutics and carrier for drug delivery. (2022) (0)
- Climate-Approachable Architecture & Ecological Housing (2020) (0)
- Author response for "Viral pathogenesis of SARS-CoV-2 infection and male reproductive health" (2020) (0)
- Self-Checking Circuits (1990) (0)
- Letter to the editor: MICROBIAL DETERMINANTS OF ARTHRITIS (2020) (0)
- Geraniol protects hippocampal CA1 neurons and improves functional outcomes in global model of stroke in rats. (2023) (0)
- A Machine Learning Approach to Correlate Emotional Intelligence and Happiness Based on Twitter Data (2018) (0)
- Editorial: New Associate Editor Appointments (2010) (0)
- Systematic t-error correcting/all unidirectional error detecting codes with easy encoding/decoding (1990) (0)
- Exploring the role of antibiotics and steroids in managing respiratory diseases (2022) (0)
- Testing of Digital Systems: Built-in self-test (2003) (0)
- DINI: data imputation using neural inversion for edge applications (2022) (0)
- Development Through Information (2010) (0)
- Neuroprotective Role of Quercetin against Alpha-Synuclein-Associated Hallmarks in Parkinson's Disease. (2022) (0)
- Search Space Optimization for Reversible Logic Synthesis (2007) (0)
- Cannabinoid Type-2 Receptor Agonist, JWH133 May Be a Possible Candidate for Targeting Infection, Inflammation, and Immunity in COVID-19 (2021) (0)
- The Emerging Role of Epigenetics in Metabolism and Endocrinology (2023) (0)
- Switching and Finite Automata Theory: Sets, relations, and lattices (2009) (0)
- Nanotechnology in the Service of Embedded and Ubiquitous Computing (2005) (0)
- Sleep Disturbance–Induced Free Radical Formation in the Gut May Be Blocked by Melatonin (2021) (0)
- Chitosan Nanoparticles-Based Cancer Drug Delivery: Application and Challenges (2023) (0)
- Exploring Software Partitions for Fast Security (2007) (0)
- Program committee (2007) (0)
- esis for Parallel Scan: Applications t (1996) (0)
- Embedded and ubiquitous computing : International Conference EUC 2004, Aizu-Wakamatusu City, Japan, August 25-27, 2004 : proceedings (2004) (0)
- Switching and Finite Automata Theory: Number systems and codes (2009) (0)
- Multiobjective Core-Based Single-Chip System Synthesis (2013) (0)
- Fault Tolerance in Distributed Systems [Book Reviews] (1996) (0)
- Fault Tolerant Parallel Computing in Orthogonal Shared-Memory and Related Architectures (1992) (0)
- Editorial Announcing a New Editor-in-Chief (2011) (0)
- QLib (2014) (0)
- Switching and Finite Automata Theory: Capabilities, minimization, and transformation of sequential machines (2009) (0)
- Mesenchymal Stem Cell: Therapeutic Intervention in Covid-19 (2021) (0)
- Editorial Appointments for the 2009-2010 Term (2009) (0)
- Probing the Immune System Dynamics of the COVID-19 Disease for Vaccine Designing and Drug Repurposing Using Bioinformatics Tools (2022) (0)
- Cell-Based Therapies Expansion Processes (2020) (0)
- Towards Execution-Efficient LSTMs via Hardware-Guided Grow-and-Prune Paradigm (2022) (0)
- Corrigendum to "Quantum dots: The cutting-edge nanotheranostics in brain cancer management" [Journal of Controlled Release, Volume 350 (2022) Pages 698-715]. (2022) (0)
- Switching and Finite Automata Theory: Introduction to synchronous sequential circuits and iterative networks (2009) (0)
- Guest Editorial (1998) (0)
- BIOMOLECULES MEDIATED TARGETING OF VASCULAR ENDOTHELIAL GROWTH FACTOR IN NEURONAL DYSFUNCTION: AN IN SILICO APPROACH (2017) (0)
- care HCI Security and forensics Education User authentication Deception detection Smart tutoring Teaching assistant Posture recognition Gesture detection (2017) (0)
- Testing of Digital Systems: High-level test synthesis (2003) (0)
- Testing of Digital Systems: Functional testing (2003) (0)
- MedMon: A Novel Device for Monitoring Medical Device Security (2012) (0)
- Comparative study of anti-angiogenic activities of luteolin, lectin and lupeol biomolecules (2015) (0)
- Test Generation for Dynamic CMOS Circuits (1990) (0)
- Multiple input bridging fault detection in CMOS sequential circuits (1992) (0)
- Switching and Finite Automata Theory: Preface (2009) (0)
- Testing of Digital Systems: Sequential ATPG (2003) (0)
- Test Generation for Static CMOS Circuits (1990) (0)
- Architecture-Level Power Estimation (1998) (0)
- Testing of combinational circuits (2009) (0)
- NanoV: Nanowire-based VLSI design (2010) (0)
- The politics of reforms in vietnam, 1986-1991: a study of socio-economic implications (2009) (0)
- Testing of Digital Systems: Design for testability (2003) (0)
- No . CEJ 97-003 : Synthesis of Power-Optimized Circuits from Hierarchical Behavioral Descriptions (2007) (0)
- VLSI Design and ASPDAC Technical Program Committee (2002) (0)
- Study of E-Plane Sectoral Dielectric Horn Antenna on Geometrical Theory of Diffraction (1989) (0)
- Testing of Digital Systems: Fault models (2003) (0)
- NanoMap (2007) (0)
- Exploring the Mechanical Perspective of a New Anti-Tumor Agent: Melatonin. (2023) (0)
- Switching and Finite Automata Theory: Switching algebra and its applications (2009) (0)
- Switching and Finite Automata Theory: Memory, definiteness, and information losslessness of finite automata (2009) (0)
- Plant nutrient dynamics: a growing appreciation for the roles of micronutrients (2023) (0)
- What is majority/minority network synthesis? (2008) (0)
- PCN76 IMPACT OF SILDENAFIL ON MARITAL AND SEXUAL ADJUSTMENT IN PATIENTS AND THEIR PARTNERS AFTER RADIOTHERAPY AND SHORT-TERM ANDROGEN SUPPRESSION FOR PROSTATE CANCER: ANALYSIS OF RTOG 0215 (2008) (0)
- Demethylation of CADM1 and SOCS1 using capsaicin in cervical cancer cell line (2022) (0)
- Identification of biomolecules for Alzheimer's disease using docking analysis of tau protein (2021) (0)
- INFORM: Inverse Design Methodology for Constrained Multi-objective Optimization (2022) (0)
- 2 Logic Design Using SG / IG-Mode FinFETs (2017) (0)
- Role of Arsenic in Carcinogenesis (2021) (0)
- TransCODE: Co-design of Transformers and Accelerators for Efficient Training and Inference (2023) (0)
- Exploring state-of-the-art advances in targeted nanomedicines for managing acute and chronic inflammatory lung diseases. (2022) (0)
- Corrections to "mogac: a multiobjective genetic algorithm for hardware-software cosynthesis of distributed embedded systems" (1999) (0)
- The fractal viewpoint of tumors and nanoparticles. (2022) (0)
- Switching and Finite Automata Theory: State-identification experiments and testing of sequential circuits (2009) (0)
- Testing of Digital Systems: Test generation for combinational circuits (2003) (0)
- Biotechnological interventions and production of galanthamine in Crinum spp. (2023) (0)
- Totally Self-Checking Circuits and Testable CMOS Circuits (1986) (0)
- esis for Parallel Scan : Applications t C ath-Delay Fault ility (2004) (0)
- CAR T cells: engineered immune cells to treat brain cancers and beyond (2023) (0)
- A defense framework against malware and vulnerability exploits (2014) (0)
- Synthesis and characterization of PCU@C-Ag/AgCl nanoparticles as an antimicrobial material for respiratory tract infection (2021) (0)
- HW/SW Framework for Improving the Safety of Implantable and Wearable Medical Devices (2021) (0)
- Design for Robust Testability (1990) (0)
- Correction to: Targeting intercellular adhesion molecule-1 (ICAM-1) to reduce rhinovirus-induced acute exacerbations in chronic respiratory diseases (2022) (0)
- Molecular basis of fluoride toxicities: Beyond benefits and implications in human disorders (2022) (0)
- Evaluating Conditional Statements in Embedded System Software: Systematic Methodologies for Reducing Energy Consumption (2004) (0)
- What is majority/minority network synthesis? (2008) (0)
- Emergence of taurine as a therapeutic agent for neurological disorders (2023) (0)
- Hardware-Software Co-Design for Test: It's the Last Straw! (1996) (0)
- Testing of Digital Systems: Preface (2003) (0)
- UEL in Abaqus (2020) (0)
- Diagnosis and Clinical Aspects of Lung Cancer: A Special Emphasis on Drug Targeting to Cancer Cells Through Nanoparticles (2022) (0)
- Synergism of CD28 Immune Molecule in Late Immunosuppressive Phase of COVID-19: Effectiveness in Vaccinated Individuals. (2022) (0)
- Food Waste Processing and Its Management (2020) (0)
- ML-FEED: Machine Learning Framework for Efficient Exploit Detection (2022) (0)
- Barbaloin: an amazing chemical from the ‘wonder plant’ with multidimensional pharmacological attributes (2022) (0)
- Combinational logic and fault simulation (2003) (0)
- UMAT in Abaqus (2020) (0)
- A “NOTCH” deeper into the EMT program in Breast cancer (2019) (0)
- Total Stromal Fraction (TSF) - Fortified Adipose tissue-derived Stem Cells Source: An Emerging Regenerative Realm Against COVID-19 Induced Pulmonary Compromise (2021) (0)
- Cannabidiol-Loaded Nanocarriers and Their Therapeutic Applications (2023) (0)
- Editorial (2007) (0)
- Advances and opportunities in nanoimaging agents for the diagnosis of inflammatory lung diseases. (2023) (0)
- CRISPR/Cas9 system in breast cancer therapy: advancement, limitations and future scope (2022) (0)
- Neuroprotective Potential and Underlying Pharmacological Mechanism of Carvacrol for Alzheimer's and Parkinson's diseases. (2022) (0)
- Switching and Finite Automata Theory: Multi-level logic synthesis (2009) (0)
- Switching and Finite Automata Theory: Threshold logic for nanotechnologies (2009) (0)
- Towards a Chimeric Vaccine against Multiple Isolates of Mycobacteroides - An Integrative Approach (2019) (0)
- Correction to Algorithm-Based Fault Tolerance for FFT Networks (1994) (0)
- INVITED: Efficient Synthesis of Compact Deep Neural Networks (2020) (0)
- Input space-adaptive optimization for embedded-software synthesis (2005) (0)
- Design techniques for energy-efficient embedded and mobile computing systems (2004) (0)
- SUSTAINABILITY IN BIOPHARMACEUTICAL INDUSTRY (2020) (0)
- Radiation Hardened High-Speed LVDS compliant Transceiver (2019) (0)
- Switching and Finite Automata Theory: Structure of sequential machines (2009) (0)
- Role of nucleic acid-based polymeric micelles in treating lung diseases. (2023) (0)
- Occurrence and distribution of perfluoroalkyl acids in rivers: Impact and risk assessment (2022) (0)
- Testing of Digital Systems: Synthesis for testability (2003) (0)
This paper list is powered by the following services:
What Schools Are Affiliated With Niraj Kumar Jha?
Niraj Kumar Jha is affiliated with the following schools: